Home
last modified time | relevance | path

Searched refs:hscif2_data_b_pins (Results 1 – 25 of 323) sorted by relevance

12345678910>>...13

/dports/emulators/qemu42/qemu-4.2.1/roms/u-boot/drivers/pinctrl/renesas/
H A Dpfc-r8a7791.c2313 static const unsigned int hscif2_data_b_pins[] = { variable
H A Dpfc-r8a77990.c2010 static const unsigned int hscif2_data_b_pins[] = { variable
/dports/emulators/qemu-utils/qemu-4.2.1/roms/u-boot/drivers/pinctrl/renesas/
H A Dpfc-r8a7791.c2313 static const unsigned int hscif2_data_b_pins[] = { variable
/dports/emulators/qemu5/qemu-5.2.0/roms/u-boot/drivers/pinctrl/renesas/
H A Dpfc-r8a7791.c2313 static const unsigned int hscif2_data_b_pins[] = { variable
H A Dpfc-r8a77990.c2010 static const unsigned int hscif2_data_b_pins[] = { variable
/dports/sysutils/u-boot-nanopi-neo2/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r8a7791.c2315 static const unsigned int hscif2_data_b_pins[] = { variable
/dports/sysutils/u-boot-olinuxino-lime/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r8a7791.c2315 static const unsigned int hscif2_data_b_pins[] = { variable
H A Dpfc-r8a77990.c2002 static const unsigned int hscif2_data_b_pins[] = { variable
/dports/sysutils/u-boot-olinuxino-lime2/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r8a7791.c2315 static const unsigned int hscif2_data_b_pins[] = { variable
H A Dpfc-r8a77990.c2002 static const unsigned int hscif2_data_b_pins[] = { variable
/dports/emulators/qemu-guest-agent/qemu-5.0.1/roms/u-boot/drivers/pinctrl/renesas/
H A Dpfc-r8a77990.c2010 static const unsigned int hscif2_data_b_pins[] = {
H A Dpfc-r8a7791.c2313 static const unsigned int hscif2_data_b_pins[] = {
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/pinctrl/renesas/
H A Dpfc-r8a77990.c1998 static const unsigned int hscif2_data_b_pins[] = { variable
/dports/sysutils/u-boot-cubox-hummingboard/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r8a7791.c2315 static const unsigned int hscif2_data_b_pins[] = { variable
H A Dpfc-r8a77990.c2002 static const unsigned int hscif2_data_b_pins[] = { variable
/dports/sysutils/u-boot-cubieboard2/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r8a7791.c2315 static const unsigned int hscif2_data_b_pins[] = { variable
H A Dpfc-r8a77990.c2002 static const unsigned int hscif2_data_b_pins[] = { variable
/dports/sysutils/u-boot-cubieboard/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r8a7791.c2315 static const unsigned int hscif2_data_b_pins[] = { variable
/dports/sysutils/u-boot-firefly-rk3399/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r8a7791.c2315 static const unsigned int hscif2_data_b_pins[] = { variable
H A Dpfc-r8a77990.c2002 static const unsigned int hscif2_data_b_pins[] = { variable
/dports/sysutils/u-boot-sinovoip-bpi-m3/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r8a7791.c2315 static const unsigned int hscif2_data_b_pins[] = { variable
H A Dpfc-r8a77990.c2002 static const unsigned int hscif2_data_b_pins[] = { variable
/dports/sysutils/u-boot-sopine/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r8a7791.c2315 static const unsigned int hscif2_data_b_pins[] = { variable
H A Dpfc-r8a77990.c2002 static const unsigned int hscif2_data_b_pins[] = { variable
/dports/sysutils/u-boot-a64-olinuxino/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r8a77990.c2002 static const unsigned int hscif2_data_b_pins[] = { variable

12345678910>>...13