Home
last modified time | relevance | path

Searched refs:i465 (Results 1 – 25 of 182) sorted by relevance

12345678

/dports/www/chromium-legacy/chromium-88.0.4324.182/third_party/llvm/llvm/test/CodeGen/Hexagon/
H A Dexpand-condsets-extend.ll12 %shr.i465 = lshr i64 %0, 48
13 %trunc = trunc i64 %shr.i465 to i15
/dports/devel/llvm-cheri/llvm-project-37c49ff00e3eadce5d8703fdc4497f28458c64a8/llvm/test/CodeGen/Hexagon/
H A Dexpand-condsets-extend.ll12 %shr.i465 = lshr i64 %0, 48
13 %trunc = trunc i64 %shr.i465 to i15
/dports/devel/llvm10/llvm-10.0.1.src/test/CodeGen/Hexagon/
H A Dexpand-condsets-extend.ll12 %shr.i465 = lshr i64 %0, 48
13 %trunc = trunc i64 %shr.i465 to i15
/dports/devel/wasi-libcxx/llvm-project-13.0.1.src/llvm/test/CodeGen/Hexagon/
H A Dexpand-condsets-extend.ll12 %shr.i465 = lshr i64 %0, 48
13 %trunc = trunc i64 %shr.i465 to i15
/dports/graphics/llvm-mesa/llvm-13.0.1.src/test/CodeGen/Hexagon/
H A Dexpand-condsets-extend.ll12 %shr.i465 = lshr i64 %0, 48
13 %trunc = trunc i64 %shr.i465 to i15
/dports/devel/llvm12/llvm-project-12.0.1.src/llvm/test/CodeGen/Hexagon/
H A Dexpand-condsets-extend.ll12 %shr.i465 = lshr i64 %0, 48
13 %trunc = trunc i64 %shr.i465 to i15
/dports/devel/llvm11/llvm-11.0.1.src/test/CodeGen/Hexagon/
H A Dexpand-condsets-extend.ll12 %shr.i465 = lshr i64 %0, 48
13 %trunc = trunc i64 %shr.i465 to i15
/dports/devel/llvm-devel/llvm-project-f05c95f10fc1d8171071735af8ad3a9e87633120/llvm/test/CodeGen/Hexagon/
H A Dexpand-condsets-extend.ll12 %shr.i465 = lshr i64 %0, 48
13 %trunc = trunc i64 %shr.i465 to i15
/dports/devel/wasi-compiler-rt13/llvm-project-13.0.1.src/llvm/test/CodeGen/Hexagon/
H A Dexpand-condsets-extend.ll12 %shr.i465 = lshr i64 %0, 48
13 %trunc = trunc i64 %shr.i465 to i15
/dports/devel/tinygo/tinygo-0.14.1/llvm-project/llvm/test/CodeGen/Hexagon/
H A Dexpand-condsets-extend.ll12 %shr.i465 = lshr i64 %0, 48
13 %trunc = trunc i64 %shr.i465 to i15
/dports/devel/wasi-compiler-rt12/llvm-project-12.0.1.src/llvm/test/CodeGen/Hexagon/
H A Dexpand-condsets-extend.ll12 %shr.i465 = lshr i64 %0, 48
13 %trunc = trunc i64 %shr.i465 to i15
/dports/devel/llvm90/llvm-9.0.1.src/test/CodeGen/Hexagon/
H A Dexpand-condsets-extend.ll12 %shr.i465 = lshr i64 %0, 48
13 %trunc = trunc i64 %shr.i465 to i15
/dports/devel/llvm80/llvm-8.0.1.src/test/CodeGen/Hexagon/
H A Dexpand-condsets-extend.ll12 %shr.i465 = lshr i64 %0, 48
13 %trunc = trunc i64 %shr.i465 to i15
/dports/devel/llvm13/llvm-project-13.0.1.src/llvm/test/CodeGen/Hexagon/
H A Dexpand-condsets-extend.ll12 %shr.i465 = lshr i64 %0, 48
13 %trunc = trunc i64 %shr.i465 to i15
/dports/devel/llvm70/llvm-7.0.1.src/test/CodeGen/Hexagon/
H A Dexpand-condsets-extend.ll12 %shr.i465 = lshr i64 %0, 48
13 %trunc = trunc i64 %shr.i465 to i15
/dports/www/chromium-legacy/chromium-88.0.4324.182/third_party/llvm/llvm/test/CodeGen/ARM/
H A D2008-04-10-ScavengerAssert.ll75 …%indvar166.i465.i = phi i32 [ %indvar.next167.i.i, %bb620.i.i ], [ 0, %bb609.i.i ] ; <i32> [#uses…
80 %indvar.next167.i.i = add i32 %indvar166.i465.i, 1 ; <i32> [#uses=1]
/dports/devel/llvm-cheri/llvm-project-37c49ff00e3eadce5d8703fdc4497f28458c64a8/llvm/test/CodeGen/ARM/
H A D2008-04-10-ScavengerAssert.ll75 …%indvar166.i465.i = phi i32 [ %indvar.next167.i.i, %bb620.i.i ], [ 0, %bb609.i.i ] ; <i32> [#uses…
80 %indvar.next167.i.i = add i32 %indvar166.i465.i, 1 ; <i32> [#uses=1]
/dports/devel/llvm10/llvm-10.0.1.src/test/CodeGen/ARM/
H A D2008-04-10-ScavengerAssert.ll75 …%indvar166.i465.i = phi i32 [ %indvar.next167.i.i, %bb620.i.i ], [ 0, %bb609.i.i ] ; <i32> [#uses…
80 %indvar.next167.i.i = add i32 %indvar166.i465.i, 1 ; <i32> [#uses=1]
/dports/devel/llvm-devel/llvm-project-f05c95f10fc1d8171071735af8ad3a9e87633120/llvm/test/CodeGen/ARM/
H A D2008-04-10-ScavengerAssert.ll75 …%indvar166.i465.i = phi i32 [ %indvar.next167.i.i, %bb620.i.i ], [ 0, %bb609.i.i ] ; <i32> [#uses…
80 %indvar.next167.i.i = add i32 %indvar166.i465.i, 1 ; <i32> [#uses=1]
/dports/devel/wasi-libcxx/llvm-project-13.0.1.src/llvm/test/CodeGen/ARM/
H A D2008-04-10-ScavengerAssert.ll75 …%indvar166.i465.i = phi i32 [ %indvar.next167.i.i, %bb620.i.i ], [ 0, %bb609.i.i ] ; <i32> [#uses…
80 %indvar.next167.i.i = add i32 %indvar166.i465.i, 1 ; <i32> [#uses=1]
/dports/graphics/llvm-mesa/llvm-13.0.1.src/test/CodeGen/ARM/
H A D2008-04-10-ScavengerAssert.ll75 …%indvar166.i465.i = phi i32 [ %indvar.next167.i.i, %bb620.i.i ], [ 0, %bb609.i.i ] ; <i32> [#uses…
80 %indvar.next167.i.i = add i32 %indvar166.i465.i, 1 ; <i32> [#uses=1]
/dports/devel/llvm12/llvm-project-12.0.1.src/llvm/test/CodeGen/ARM/
H A D2008-04-10-ScavengerAssert.ll75 …%indvar166.i465.i = phi i32 [ %indvar.next167.i.i, %bb620.i.i ], [ 0, %bb609.i.i ] ; <i32> [#uses…
80 %indvar.next167.i.i = add i32 %indvar166.i465.i, 1 ; <i32> [#uses=1]
/dports/devel/llvm11/llvm-11.0.1.src/test/CodeGen/ARM/
H A D2008-04-10-ScavengerAssert.ll75 …%indvar166.i465.i = phi i32 [ %indvar.next167.i.i, %bb620.i.i ], [ 0, %bb609.i.i ] ; <i32> [#uses…
80 %indvar.next167.i.i = add i32 %indvar166.i465.i, 1 ; <i32> [#uses=1]
/dports/devel/wasi-compiler-rt13/llvm-project-13.0.1.src/llvm/test/CodeGen/ARM/
H A D2008-04-10-ScavengerAssert.ll75 …%indvar166.i465.i = phi i32 [ %indvar.next167.i.i, %bb620.i.i ], [ 0, %bb609.i.i ] ; <i32> [#uses…
80 %indvar.next167.i.i = add i32 %indvar166.i465.i, 1 ; <i32> [#uses=1]
/dports/devel/tinygo/tinygo-0.14.1/llvm-project/llvm/test/CodeGen/ARM/
H A D2008-04-10-ScavengerAssert.ll75 …%indvar166.i465.i = phi i32 [ %indvar.next167.i.i, %bb620.i.i ], [ 0, %bb609.i.i ] ; <i32> [#uses…
80 %indvar.next167.i.i = add i32 %indvar166.i465.i, 1 ; <i32> [#uses=1]

12345678