Home
last modified time | relevance | path

Searched refs:inloop (Results 1 – 25 of 196) sorted by relevance

12345678

/dports/lang/php73/php-7.3.33/tests/lang/
H A D031.phpt56 inloop 0 for key1
57 inloop 1 for key1
58 inloop 0 for key2
59 inloop 1 for key2
61 inloop 0 for key1
62 inloop 1 for key1
64 inloop 0 for key1
65 inloop 1 for key1
66 inloop 0 for key2
67 inloop 1 for key2
[all …]
/dports/lang/php74/php-7.4.27/tests/lang/
H A D031.phpt56 inloop 0 for key1
57 inloop 1 for key1
58 inloop 0 for key2
59 inloop 1 for key2
61 inloop 0 for key1
62 inloop 1 for key1
64 inloop 0 for key1
65 inloop 1 for key1
66 inloop 0 for key2
67 inloop 1 for key2
[all …]
/dports/www/mod_php74/php-7.4.27/tests/lang/
H A D031.phpt56 inloop 0 for key1
57 inloop 1 for key1
58 inloop 0 for key2
59 inloop 1 for key2
61 inloop 0 for key1
62 inloop 1 for key1
64 inloop 0 for key1
65 inloop 1 for key1
66 inloop 0 for key2
67 inloop 1 for key2
[all …]
/dports/www/mod_php73/php-7.3.33/tests/lang/
H A D031.phpt56 inloop 0 for key1
57 inloop 1 for key1
58 inloop 0 for key2
59 inloop 1 for key2
61 inloop 0 for key1
62 inloop 1 for key1
64 inloop 0 for key1
65 inloop 1 for key1
66 inloop 0 for key2
67 inloop 1 for key2
[all …]
/dports/net-mgmt/rancid3/rancid-3.13/etc/
H A Drancid.types.base11 a10;inloop;acos::inloop
27 #adtran;inloop;adtran::inloop
48 arbor;inloop;arbor::inloop
60 arcos;inloop;arcos::inloop
74 arista;inloop;aeos::inloop
91 axis;inloop;ios::inloop
137 cisco;inloop;ios::inloop
757 mrv;inloop;mrv::inloop
805 smc;inloop;smc::inloop
876 vrp;inloop;vrp::inloop
[all …]
H A Drancid.types.conf14 jlocal;inloop;junos::inloop
39 juniper-cdb;inloop;junos::inloop
52 ciscoshtech;inloop;ios::inloop
/dports/net-mgmt/rancid3/rancid-3.13/bin/
H A Drancid.in67 if (!defined($inloop) || length($inloop) < 1) {
107 eval($inloop ."(*INPUT, *OUTPUT);") && die "${inloop} failed: $@\n";
/dports/lang/nawk/nawk-20121220_1/
H A Dawkgram.y36 int inloop = 0; /* = 1 if in while, for, do */ variable
124 FOR '(' opt_simple_stmt ';' opt_nl pattern ';' opt_nl opt_simple_stmt rparen {inloop++;} stmt
125 { --inloop; $$ = stat4(FOR, $3, notnull($6), $9, $12); }
126 | FOR '(' opt_simple_stmt ';' ';' opt_nl opt_simple_stmt rparen {inloop++;} stmt
127 { --inloop; $$ = stat4(FOR, $3, NIL, $7, $10); }
128 | FOR '(' varname IN varname rparen {inloop++;} stmt
129 { --inloop; $$ = stat3(IN, $3, makearr($5), $8); }
317 BREAK st { if (!inloop) SYNTAX("break illegal outside of loops");
319 | CONTINUE st { if (!inloop) SYNTAX("continue illegal outside of loops");
321 | do {inloop++;} stmt {--inloop;} WHILE '(' pattern ')' st
[all …]
H A Dytab.c13 int inloop = 0; /* = 1 if in while, for, do */ variable
1231 {inloop++;} break; in yyparse()
1237 {inloop++;} break; in yyparse()
1240 { --inloop; yyval.p = stat4(FOR, yypt[-7].yyv.p, NIL, yypt[-3].yyv.p, yypt[-0].yyv.p); } break; in yyparse()
1243 {inloop++;} break; in yyparse()
1454 { if (!inloop) SYNTAX("break illegal outside of loops"); in yyparse()
1458 { if (!inloop) SYNTAX("continue illegal outside of loops"); in yyparse()
1462 {inloop++;} break; in yyparse()
1465 {--inloop;} break; in yyparse()
1502 {inloop++;} break; in yyparse()
[all …]
/dports/devel/9base/9base-6/awk/
H A Dawkgram.y38 int inloop = 0; /* = 1 if in while, for, do */ variable
126 FOR '(' opt_simple_stmt ';' opt_nl pattern ';' opt_nl opt_simple_stmt rparen {inloop++;} stmt
127 { --inloop; $$ = stat4(FOR, $3, notnull($6), $9, $12); }
128 | FOR '(' opt_simple_stmt ';' ';' opt_nl opt_simple_stmt rparen {inloop++;} stmt
129 { --inloop; $$ = stat4(FOR, $3, NIL, $7, $10); }
130 | FOR '(' varname IN varname rparen {inloop++;} stmt
131 { --inloop; $$ = stat3(IN, $3, makearr($5), $8); }
319 BREAK st { if (!inloop) SYNTAX("break illegal outside of loops");
322 | CONTINUE st { if (!inloop) SYNTAX("continue illegal outside of loops");
324 | do {inloop++;} stmt {--inloop;} WHILE '(' pattern ')' st
[all …]
/dports/devel/cscout/cscout-bd7dfac/example/awk/
H A Dawkgram.y36 int inloop = 0; /* = 1 if in while, for, do */ variable
124 FOR '(' opt_simple_stmt ';' opt_nl pattern ';' opt_nl opt_simple_stmt rparen {inloop++;} stmt
125 { --inloop; $$ = stat4(FOR, $3, notnull($6), $9, $12); }
126 | FOR '(' opt_simple_stmt ';' ';' opt_nl opt_simple_stmt rparen {inloop++;} stmt
127 { --inloop; $$ = stat4(FOR, $3, NIL, $7, $10); }
128 | FOR '(' varname IN varname rparen {inloop++;} stmt
129 { --inloop; $$ = stat3(IN, $3, makearr($5), $8); }
317 BREAK st { if (!inloop) SYNTAX("break illegal outside of loops");
319 | CONTINUE st { if (!inloop) SYNTAX("continue illegal outside of loops");
321 | do {inloop++;} stmt {--inloop;} WHILE '(' pattern ')' st
[all …]
H A Dytab.c13 int inloop = 0; /* = 1 if in while, for, do */ variable
1175 {inloop++;} break; in yyparse()
1181 {inloop++;} break; in yyparse()
1184 { --inloop; yyval.p = stat4(FOR, yypt[-7].yyv.p, NIL, yypt[-3].yyv.p, yypt[-0].yyv.p); } break; in yyparse()
1187 {inloop++;} break; in yyparse()
1398 { if (!inloop) SYNTAX("break illegal outside of loops"); in yyparse()
1402 { if (!inloop) SYNTAX("continue illegal outside of loops"); in yyparse()
1406 {inloop++;} break; in yyparse()
1409 {--inloop;} break; in yyparse()
1446 {inloop++;} break; in yyparse()
[all …]
/dports/devel/plan9port/plan9port-1f098efb7370a0b28306d10681e21883fb1c1507/src/cmd/awk/
H A Dawkgram.y38 int inloop = 0; /* = 1 if in while, for, do */ variable
126 FOR '(' opt_simple_stmt ';' opt_nl pattern ';' opt_nl opt_simple_stmt rparen {inloop++;} stmt
127 { --inloop; $$ = stat4(FOR, $3, notnull($6), $9, $12); }
128 | FOR '(' opt_simple_stmt ';' ';' opt_nl opt_simple_stmt rparen {inloop++;} stmt
129 { --inloop; $$ = stat4(FOR, $3, NIL, $7, $10); }
130 | FOR '(' varname IN varname rparen {inloop++;} stmt
131 { --inloop; $$ = stat3(IN, $3, makearr($5), $8); }
319 BREAK st { if (!inloop) SYNTAX("break illegal outside of loops");
322 | CONTINUE st { if (!inloop) SYNTAX("continue illegal outside of loops");
324 | do {inloop++;} stmt {--inloop;} WHILE '(' pattern ')' st
[all …]
/dports/biology/bioawk/bioawk-1.0-7-gfd40150/
H A Dawkgram.y36 int inloop = 0; /* = 1 if in while, for, do */ variable
124 FOR '(' opt_simple_stmt ';' opt_nl pattern ';' opt_nl opt_simple_stmt rparen {inloop++;} stmt
125 { --inloop; $$ = stat4(FOR, $3, notnull($6), $9, $12); }
126 | FOR '(' opt_simple_stmt ';' ';' opt_nl opt_simple_stmt rparen {inloop++;} stmt
127 { --inloop; $$ = stat4(FOR, $3, NIL, $7, $10); }
128 | FOR '(' varname IN varname rparen {inloop++;} stmt
129 { --inloop; $$ = stat3(IN, $3, makearr($5), $8); }
317 BREAK st { if (!inloop) SYNTAX("break illegal outside of loops");
319 | CONTINUE st { if (!inloop) SYNTAX("continue illegal outside of loops");
321 | do {inloop++;} stmt {--inloop;} WHILE '(' pattern ')' st
[all …]
/dports/textproc/tttcmds/tttcmds-1.0.20190920/src/contrib/one-true-awk/
H A Dawkgram.y36 int inloop = 0; /* = 1 if in while, for, do */ variable
128 FOR '(' opt_simple_stmt ';' opt_nl pattern ';' opt_nl opt_simple_stmt rparen {inloop++;} stmt
129 { --inloop; $$ = stat4(FOR, $3, notnull($6), $9, $12); }
130 | FOR '(' opt_simple_stmt ';' ';' opt_nl opt_simple_stmt rparen {inloop++;} stmt
131 { --inloop; $$ = stat4(FOR, $3, NIL, $7, $10); }
132 | FOR '(' varname IN varname rparen {inloop++;} stmt
133 { --inloop; $$ = stat3(IN, $3, makearr($5), $8); }
321 BREAK st { if (!inloop) SYNTAX("break illegal outside of loops");
323 | CONTINUE st { if (!inloop) SYNTAX("continue illegal outside of loops");
325 | do {inloop++;} stmt {--inloop;} WHILE '(' pattern ')' st
[all …]
/dports/misc/rump/buildrump.sh-b914579/src/external/historical/nawk/dist/
H A Dawkgram.y40 int inloop = 0; /* = 1 if in while, for, do */ variable
129 FOR '(' opt_simple_stmt ';' opt_nl pattern ';' opt_nl opt_simple_stmt rparen {inloop++;} stmt
130 { --inloop; $$ = stat4(FOR, $3, notnull($6), $9, $12); }
131 | FOR '(' opt_simple_stmt ';' ';' opt_nl opt_simple_stmt rparen {inloop++;} stmt
132 { --inloop; $$ = stat4(FOR, $3, NIL, $7, $10); }
133 | FOR '(' varname IN varname rparen {inloop++;} stmt
134 { --inloop; $$ = stat3(IN, $3, makearr($5), $8); }
322 BREAK st { if (!inloop) SYNTAX("break illegal outside of loops");
324 | CONTINUE st { if (!inloop) SYNTAX("continue illegal outside of loops");
326 | do {inloop++;} stmt {--inloop;} WHILE '(' pattern ')' st
[all …]
H A Dytab.c13 int inloop = 0; /* = 1 if in while, for, do */ variable
1231 {inloop++;} break; in yyparse()
1237 {inloop++;} break; in yyparse()
1240 { --inloop; yyval.p = stat4(FOR, yypt[-7].yyv.p, NIL, yypt[-3].yyv.p, yypt[-0].yyv.p); } break; in yyparse()
1243 {inloop++;} break; in yyparse()
1454 { if (!inloop) SYNTAX("break illegal outside of loops"); in yyparse()
1458 { if (!inloop) SYNTAX("continue illegal outside of loops"); in yyparse()
1462 {inloop++;} break; in yyparse()
1465 {--inloop;} break; in yyparse()
1502 {inloop++;} break; in yyparse()
[all …]
/dports/games/shockolate/systemshock-0.8.2-43-ga9eb1b93/src/GameSrc/
H A Dfrutil.c83 int extloop, inloop, clen; in check_around() local
89 for (inloop = 0; inloop < clen; inloop++) { in check_around()
/dports/audio/ocp/ocp-0.2.90/devw/
H A Ddwmixqa_c.c114 int inloop; in MIX_TEMPLATE() local
151 inloop=0; in MIX_TEMPLATE()
170 inloop = 1; in MIX_TEMPLATE()
182 inloop = 1; in MIX_TEMPLATE()
200 if (!inloop) in MIX_TEMPLATE()
220 if (inloop) in MIX_TEMPLATE()
H A Ddwmixa_c.c116 int inloop; in mixrPlayChannel() local
138 inloop=0; in mixrPlayChannel()
159 inloop = 1; in mixrPlayChannel()
171 inloop = 1; in mixrPlayChannel()
189 if (!inloop) in mixrPlayChannel()
275 if (inloop) in mixrPlayChannel()
/dports/games/shockolate/systemshock-0.8.2-43-ga9eb1b93/src/Libraries/SND/Tests/
H A Dsndtest.c73 uchar inloop = TRUE; in main() local
137 inloop=FALSE; in main()
210 } while (inloop); in main()
/dports/lang/gcc12-devel/gcc-12-20211205/gcc/testsuite/gdc.test/runnable/
H A Dwarning1.d61 goto inloop; in mainX()
64 inloop: in mainX()
/dports/lang/gcc10/gcc-10.3.0/gcc/testsuite/gdc.test/runnable/
H A Dwarning1.d61 goto inloop; in mainX()
64 inloop: in mainX()
/dports/lang/gcc11/gcc-11.2.0/gcc/testsuite/gdc.test/runnable/
H A Dwarning1.d61 goto inloop; in mainX()
64 inloop: in mainX()
/dports/lang/gcc9-devel/gcc-9-20211007/gcc/testsuite/gdc.test/runnable/
H A Dwarning1.d61 goto inloop; in mainX()
64 inloop: in mainX()

12345678