Home
last modified time | relevance | path

Searched refs:is_deferred (Results 1 – 25 of 281) sorted by relevance

12345678910>>...12

/dports/devel/p5-Scalar-Defer/Scalar-Defer-0.23/t/
H A D02-is.t8 ok( is_deferred($d), 'is_deferred works for deferred values' );
9 ok( is_deferred($l), 'is_deferred works for lazy values' );
12 ok( is_deferred($d), 'is_deferred works after 1st evaluation for deferred values' );
13 ok( is_deferred($l), 'is_deferred works after 1st evaluation for lazy values' );
15 ok( is_deferred($d), 'is_deferred works after 2nd evaluation for deferred values' );
17 ok( is_deferred($l), 'is_deferred works after 2nd evaluation for lazy values' );
19 ok( is_deferred($d), 'is_deferred works after 3rd evaluation for deferred values' );
20 ok( is_deferred($l), 'is_deferred works after 3rd evaluation for lazy values' );
24 ok( is_deferred($d), 'is_deferred works after force for deferred values' );
31 ok( is_deferred($l), 'is_deferred works after force for lazy values' );
[all …]
/dports/security/snort3/snort3-3.1.19.0/src/flow/test/
H A Ddeferred_trust_test.cc52 CHECK_TEXT(!deferred_trust.is_deferred(), "Deferred trust should not be deferring"); in TEST()
56 CHECK_TEXT(!deferred_trust.is_deferred(), "Deferred trust should not be deferring"); in TEST()
64 CHECK_TEXT(!deferred_trust.is_deferred(), "Deferred trust should not be deferring"); in TEST()
68 CHECK_TEXT(!deferred_trust.is_deferred(), "Deferred trust should not be deferring"); in TEST()
72 CHECK_TEXT(!deferred_trust.is_deferred(), "Deferred trust should not be deferring"); in TEST()
80 CHECK_TEXT(!deferred_trust.is_deferred(), "Deferred trust should not be deferring"); in TEST()
84 CHECK_TEXT(deferred_trust.is_deferred(), "Deferred trust should be deferring"); in TEST()
98 CHECK_TEXT(!deferred_trust.is_deferred(), "Deferred trust should not be deferring"); in TEST()
111 CHECK_TEXT(deferred_trust.is_deferred(), "Deferred trust should be deferring"); in TEST()
122 CHECK_TEXT(deferred_trust.is_deferred(), "Deferred trust should be deferring"); in TEST()
[all …]
/dports/devel/p5-Log-Agent/Log-Agent-1.005/Agent/
H A DChannel.pm28 sub is_deferred { subroutine
39 &is_deferred;
63 &is_deferred;
71 &is_deferred;
H A DDriver.pm38 sub is_deferred { subroutine
49 &is_deferred;
58 &is_deferred;
120 &is_deferred;
170 &is_deferred;
/dports/devel/p5-Mixin-Event-Dispatch/Mixin-Event-Dispatch-2.000/lib/Mixin/Event/Dispatch/
H A DEvent.pm88 sub is_deferred { $_[0]->{is_deferred} ? 1 : 0 } subroutine
97 sub is_stopped { $_[0]->{is_deferred} ? 1 : 0 }
164 while(!$self->{is_deferred} && @{$self->{handlers}}) {
219 $self->{is_deferred} = 1;
/dports/lang/v8/v8-9.6.180.12/src/torque/
H A Dcfg.h28 bool is_deferred) in Block() argument
32 is_deferred_(is_deferred) {} in Block()
104 bool is_deferred) { in NewBlock() argument
106 is_deferred); in NewBlock()
165 bool is_deferred = false) {
166 return cfg_.NewBlock(std::move(input_types), is_deferred);
/dports/www/qt5-webengine/qtwebengine-everywhere-src-5.15.2/src/3rdparty/chromium/v8/src/torque/
H A Dcfg.h28 bool is_deferred) in Block() argument
32 is_deferred_(is_deferred) {} in Block()
104 bool is_deferred) { in NewBlock() argument
106 is_deferred); in NewBlock()
160 bool is_deferred = false) {
161 return cfg_.NewBlock(std::move(input_types), is_deferred);
/dports/www/chromium-legacy/chromium-88.0.4324.182/v8/src/torque/
H A Dcfg.h28 bool is_deferred) in Block() argument
32 is_deferred_(is_deferred) {} in Block()
104 bool is_deferred) { in NewBlock() argument
106 is_deferred); in NewBlock()
160 bool is_deferred = false) {
161 return cfg_.NewBlock(std::move(input_types), is_deferred);
/dports/devel/R-cran-pkgcache/pkgcache/tests/async/
H A Dtest-constant.R7 expect_true(is_deferred(dx))
14 expect_true(is_deferred(dx))
/dports/net-mgmt/wmi-client/wmi-1.3.16/Samba/source/pidl/lib/Parse/Pidl/
H A DNDR.pm92 my $is_deferred = 0;
160 IS_DEFERRED => "$is_deferred",
185 IS_DEFERRED => "$is_deferred",
199 $is_deferred = 1 if ($e->{PARENT} and $e->{PARENT}->{TYPE} ne "FUNCTION");
229 IS_DEFERRED => "$is_deferred",
238 $is_deferred = 0;
253 IS_DEFERRED => $is_deferred,
262 IS_DEFERRED => $is_deferred
283 IS_DEFERRED => $is_deferred,
/dports/net/samba412/samba-4.12.15/pidl/lib/Parse/Pidl/
H A DNDR.pm93 my $is_deferred = 0;
222 IS_DEFERRED => $is_deferred,
253 $is_deferred = 1 if ($pt ne "ref" and $e->{PARENT}->{TYPE} eq "FUNCTION");
261 IS_DEFERRED => "$is_deferred",
273 $is_deferred = 1 if ($level ne "TOP");
302 IS_DEFERRED => $is_deferred,
311 $is_deferred = 0;
339 IS_DEFERRED => $is_deferred,
348 IS_DEFERRED => $is_deferred
367 IS_DEFERRED => $is_deferred,
/dports/net/wireshark-lite/wireshark-3.6.1/tools/pidl/lib/Parse/Pidl/
H A DNDR.pm91 my $is_deferred = 0;
220 IS_DEFERRED => $is_deferred,
251 $is_deferred = 1 if ($pt ne "ref" and $e->{PARENT}->{TYPE} eq "FUNCTION");
259 IS_DEFERRED => "$is_deferred",
271 $is_deferred = 1 if ($level ne "TOP");
300 IS_DEFERRED => $is_deferred,
309 $is_deferred = 0;
337 IS_DEFERRED => $is_deferred,
346 IS_DEFERRED => $is_deferred
365 IS_DEFERRED => $is_deferred,
/dports/net/wireshark/wireshark-3.6.1/tools/pidl/lib/Parse/Pidl/
H A DNDR.pm91 my $is_deferred = 0;
220 IS_DEFERRED => $is_deferred,
251 $is_deferred = 1 if ($pt ne "ref" and $e->{PARENT}->{TYPE} eq "FUNCTION");
259 IS_DEFERRED => "$is_deferred",
271 $is_deferred = 1 if ($level ne "TOP");
300 IS_DEFERRED => $is_deferred,
309 $is_deferred = 0;
337 IS_DEFERRED => $is_deferred,
346 IS_DEFERRED => $is_deferred
365 IS_DEFERRED => $is_deferred,
/dports/net/tshark-lite/wireshark-3.6.1/tools/pidl/lib/Parse/Pidl/
H A DNDR.pm91 my $is_deferred = 0;
220 IS_DEFERRED => $is_deferred,
251 $is_deferred = 1 if ($pt ne "ref" and $e->{PARENT}->{TYPE} eq "FUNCTION");
259 IS_DEFERRED => "$is_deferred",
271 $is_deferred = 1 if ($level ne "TOP");
300 IS_DEFERRED => $is_deferred,
309 $is_deferred = 0;
337 IS_DEFERRED => $is_deferred,
346 IS_DEFERRED => $is_deferred
365 IS_DEFERRED => $is_deferred,
/dports/net/tshark/wireshark-3.6.1/tools/pidl/lib/Parse/Pidl/
H A DNDR.pm91 my $is_deferred = 0;
220 IS_DEFERRED => $is_deferred,
251 $is_deferred = 1 if ($pt ne "ref" and $e->{PARENT}->{TYPE} eq "FUNCTION");
259 IS_DEFERRED => "$is_deferred",
271 $is_deferred = 1 if ($level ne "TOP");
300 IS_DEFERRED => $is_deferred,
309 $is_deferred = 0;
337 IS_DEFERRED => $is_deferred,
346 IS_DEFERRED => $is_deferred
365 IS_DEFERRED => $is_deferred,
/dports/devel/py-pyopencl/pyopencl-2018.2/src/
H A Dwrap_mempool.cpp43 virtual bool is_deferred() const = 0;
73 bool is_deferred() const in is_deferred() function in __anon5c3543830111::cl_deferred_allocator
106 bool is_deferred() const in is_deferred() function in __anon5c3543830111::cl_immediate_allocator
/dports/devel/tdl/tdl-1.5.2/
H A Dlist.c127 int is_deferred; in print_details() local
137 is_deferred = (y->arrived > now); in print_details()
169 } else if (is_deferred) { in print_details()
242 int idx, is_done, is_deferred, is_postponed; in list_chain() local
253 is_deferred = (y->arrived > now); in list_chain()
256 || (!is_deferred && !is_postponed); in list_chain()
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/net/plip/
H A Dplip.c215 int is_deferred; member
321 if (nl->is_deferred) in plip_kick_bh()
368 nl->is_deferred = 0; in plip_bh()
372 nl->is_deferred = 1; in plip_bh()
606 nl->is_deferred = 1; in plip_receive_packet()
860 nl->is_deferred = 1; in plip_send_packet()
904 nl->is_deferred = 1; in plip_error()
1089 nl->is_deferred = 0; in plip_open()
1141 nl->is_deferred = 0; in plip_close()
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/net/plip/
H A Dplip.c215 int is_deferred; member
321 if (nl->is_deferred) in plip_kick_bh()
368 nl->is_deferred = 0; in plip_bh()
372 nl->is_deferred = 1; in plip_bh()
606 nl->is_deferred = 1; in plip_receive_packet()
860 nl->is_deferred = 1; in plip_send_packet()
904 nl->is_deferred = 1; in plip_error()
1089 nl->is_deferred = 0; in plip_open()
1141 nl->is_deferred = 0; in plip_close()
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/net/plip/
H A Dplip.c215 int is_deferred; member
321 if (nl->is_deferred) in plip_kick_bh()
368 nl->is_deferred = 0; in plip_bh()
372 nl->is_deferred = 1; in plip_bh()
606 nl->is_deferred = 1; in plip_receive_packet()
860 nl->is_deferred = 1; in plip_send_packet()
904 nl->is_deferred = 1; in plip_error()
1089 nl->is_deferred = 0; in plip_open()
1141 nl->is_deferred = 0; in plip_close()
/dports/security/snort3/snort3-3.1.19.0/src/flow/
H A Ddeferred_trust.h74 bool is_deferred() in is_deferred() function
/dports/games/renpy/renpy-7.4.11-sdk/renpy/common/
H A D00iap.rpy92 def is_deferred(self, p):
177 def is_deferred(self, p):
277 def is_deferred(self, p):
466 if is_deferred(self.product):
500 def is_deferred(product):
513 return backend.is_deferred(p)
/dports/www/qt5-webengine/qtwebengine-everywhere-src-5.15.2/src/3rdparty/chromium/content/renderer/loader/
H A Dresource_dispatcher.cc236 if (!request_info->is_deferred) in OnReceivedRedirect()
363 request_info->is_deferred = value; in SetDefersLoading()
365 } else if (request_info->is_deferred) { in SetDefersLoading()
366 request_info->is_deferred = false; in SetDefersLoading()
/dports/www/chromium-legacy/chromium-88.0.4324.182/content/renderer/loader/
H A Dresource_dispatcher.cc242 if (request_info->is_deferred == in OnReceivedRedirect()
371 request_info->is_deferred = value; in SetDefersLoading()
373 } else if (request_info->is_deferred != in SetDefersLoading()
375 request_info->is_deferred = blink::WebURLLoader::DeferType::kNotDeferred; in SetDefersLoading()
/dports/multimedia/v4l_compat/linux-5.13-rc2/fs/cifs/
H A Dmisc.c694 bool is_deferred = false; in cifs_add_deferred_close() local
697 is_deferred = cifs_is_deferred_close(cfile, &pdclose); in cifs_add_deferred_close()
698 if (is_deferred) { in cifs_add_deferred_close()
713 bool is_deferred = false; in cifs_del_deferred_close() local
716 is_deferred = cifs_is_deferred_close(cfile, &dclose); in cifs_del_deferred_close()
717 if (!is_deferred) in cifs_del_deferred_close()

12345678910>>...12