Home
last modified time | relevance | path

Searched refs:last_idx (Results 1 – 25 of 943) sorted by relevance

12345678910>>...38

/dports/net/mpich2/mpich2-1.5/src/mpid/common/datatype/dataloop/
H A Dsegment_flatten.c114 int last_idx, size; in DLOOP_Leaf_contig_mpi_flatten() local
123 if (last_idx >= 0) { in DLOOP_Leaf_contig_mpi_flatten()
130 (paramp->disps[last_idx] + ((DLOOP_Offset) paramp->blklens[last_idx])); in DLOOP_Leaf_contig_mpi_flatten()
197 int last_idx; in DLOOP_Leaf_vector_mpi_flatten() local
210 last_idx = paramp->index - 1; in DLOOP_Leaf_vector_mpi_flatten()
211 if (last_idx >= 0) { in DLOOP_Leaf_vector_mpi_flatten()
291 int last_idx; in DLOOP_Leaf_blkidx_mpi_flatten() local
304 last_idx = paramp->index - 1; in DLOOP_Leaf_blkidx_mpi_flatten()
305 if (last_idx >= 0) { in DLOOP_Leaf_blkidx_mpi_flatten()
373 int last_idx; in DLOOP_Leaf_index_mpi_flatten() local
[all …]
/dports/net/mpich/mpich-3.4.3/src/mpi/datatype/typerep/dataloop/
H A Dsegment_flatten.c99 int last_idx; in leaf_contig_mpi_flatten() local
109 if (last_idx >= 0) { in leaf_contig_mpi_flatten()
111 (paramp->disps[last_idx] + ((MPI_Aint) paramp->blklens[last_idx])); in leaf_contig_mpi_flatten()
163 int last_idx; in leaf_vector_mpi_flatten() local
176 if (last_idx >= 0) { in leaf_vector_mpi_flatten()
178 (paramp->disps[last_idx] + (MPI_Aint) (paramp->blklens[last_idx])); in leaf_vector_mpi_flatten()
239 int last_idx; in leaf_blkidx_mpi_flatten() local
252 if (last_idx >= 0) { in leaf_blkidx_mpi_flatten()
254 (paramp->disps[last_idx] + ((MPI_Aint) paramp->blklens[last_idx])); in leaf_blkidx_mpi_flatten()
299 int last_idx; in leaf_index_mpi_flatten() local
[all …]
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/cpuidle/governors/
H A Dladder.c71 int last_idx = dev->last_state_idx; in ladder_select_state() local
82 last_state = &ldev->states[last_idx]; in ladder_select_state()
87 if (last_idx < drv->state_count - 1 && in ladder_select_state()
94 ladder_do_selection(dev, ldev, last_idx, last_idx + 1); in ladder_select_state()
95 return last_idx + 1; in ladder_select_state()
100 if (last_idx > first_idx && in ladder_select_state()
101 (dev->states_usage[last_idx].disable || in ladder_select_state()
113 if (last_idx > first_idx && in ladder_select_state()
118 ladder_do_selection(dev, ldev, last_idx, last_idx - 1); in ladder_select_state()
119 return last_idx - 1; in ladder_select_state()
[all …]
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/cpuidle/governors/
H A Dladder.c71 int last_idx = dev->last_state_idx; in ladder_select_state() local
82 last_state = &ldev->states[last_idx]; in ladder_select_state()
87 if (last_idx < drv->state_count - 1 && in ladder_select_state()
94 ladder_do_selection(dev, ldev, last_idx, last_idx + 1); in ladder_select_state()
95 return last_idx + 1; in ladder_select_state()
100 if (last_idx > first_idx && in ladder_select_state()
101 (dev->states_usage[last_idx].disable || in ladder_select_state()
113 if (last_idx > first_idx && in ladder_select_state()
118 ladder_do_selection(dev, ldev, last_idx, last_idx - 1); in ladder_select_state()
119 return last_idx - 1; in ladder_select_state()
[all …]
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/cpuidle/governors/
H A Dladder.c71 int last_idx = dev->last_state_idx; in ladder_select_state() local
82 last_state = &ldev->states[last_idx]; in ladder_select_state()
87 if (last_idx < drv->state_count - 1 && in ladder_select_state()
94 ladder_do_selection(dev, ldev, last_idx, last_idx + 1); in ladder_select_state()
95 return last_idx + 1; in ladder_select_state()
100 if (last_idx > first_idx && in ladder_select_state()
101 (dev->states_usage[last_idx].disable || in ladder_select_state()
113 if (last_idx > first_idx && in ladder_select_state()
118 ladder_do_selection(dev, ldev, last_idx, last_idx - 1); in ladder_select_state()
119 return last_idx - 1; in ladder_select_state()
[all …]
/dports/editors/emacs-devel/emacs-4d1968b/src/
H A Dhaiku.c170 if (first_idx != last_idx) in getavg()
178 (samples[last_idx].kernel + samples[last_idx].user) - in getavg()
234 if (last_idx >= 0) in getloadavg()
238 if (last_idx == first_idx) in getloadavg()
240 first_idx = last_idx = -1; in getloadavg()
243 last_idx = buf_prev (last_idx); in getloadavg()
249 if (last_idx < 0 in getloadavg()
254 last_idx = buf_next (last_idx); in getloadavg()
256 samples[last_idx].idle = idle; in getloadavg()
262 first_idx = last_idx; in getloadavg()
[all …]
/dports/science/hdf5-18/hdf5-1.8.21/bin/
H A Dmake_vers308 $last_idx = -1;
331 if($last_idx >= 0) {
336 while($last_idx < $vers_idx) {
338 $func_vers[$last_idx]{$name} = $last_vers;
344 $last_idx++;
352 $last_idx = $vers_idx;
356 if($last_idx >= 0) {
361 while($last_idx <= $max_idx) {
363 $func_vers[$last_idx]{$name} = $last_vers;
365 $type_vers[$last_idx]{$name} = $last_vers;
[all …]
/dports/science/hdf5/hdf5-1.10.6/bin/
H A Dmake_vers361 $last_idx = -1;
366 if($last_idx >= 0) {
373 while($last_idx <= $_) {
375 $func_vers[$last_idx]{$name} = $last_vers;
381 $last_idx++;
389 $last_idx = $_;
393 if($last_idx >= 0) {
398 while($last_idx <= $max_idx) {
400 $func_vers[$last_idx]{$name} = $last_vers;
402 $type_vers[$last_idx]{$name} = $last_vers;
[all …]
/dports/net/mpich2/mpich2-1.5/src/mpid/common/datatype/
H A Dmpid_segment.c226 int el_size, last_idx; in MPID_Segment_contig_pack_to_iov() local
245 last_idx = paramp->u.pack_vector.index - 1; in MPID_Segment_contig_pack_to_iov()
246 if (last_idx >= 0) { in MPID_Segment_contig_pack_to_iov()
248 paramp->u.pack_vector.vectorp[last_idx].DLOOP_VECTOR_LEN; in MPID_Segment_contig_pack_to_iov()
252 if ((last_idx == paramp->u.pack_vector.length-1) && in MPID_Segment_contig_pack_to_iov()
330 int last_idx; in MPID_Segment_vector_pack_to_iov() local
343 last_idx = paramp->u.pack_vector.index - 1; in MPID_Segment_vector_pack_to_iov()
344 if (last_idx >= 0) { in MPID_Segment_vector_pack_to_iov()
346 paramp->u.pack_vector.vectorp[last_idx].DLOOP_VECTOR_LEN; in MPID_Segment_vector_pack_to_iov()
350 if ((last_idx == paramp->u.pack_vector.length-1) && in MPID_Segment_vector_pack_to_iov()
[all …]
/dports/math/igraph/igraph-0.9.5/src/misc/
H A Dother.c125 long int i, pivot_idx = 0, last_idx, before_last_idx, next_idx, j; in igraph_convex_hull() local
179 last_idx = (long int) VECTOR(order)[0]; in igraph_convex_hull()
183 if (VECTOR(angles)[last_idx] == VECTOR(angles)[next_idx]) { in igraph_convex_hull()
187 pow(MATRIX(*data, last_idx, 1) - MATRIX(*data, pivot_idx, 1), 2); in igraph_convex_hull()
194 last_idx = next_idx; in igraph_convex_hull()
198 last_idx = next_idx; in igraph_convex_hull()
204 last_idx = -1; in igraph_convex_hull()
222 (MATRIX(*data, last_idx, 1) - MATRIX(*data, before_last_idx, 1)); in igraph_convex_hull()
233 before_last_idx = last_idx; in igraph_convex_hull()
234 last_idx = next_idx; in igraph_convex_hull()
[all …]
/dports/math/R-cran-igraph/igraph/src/
H A Dother.c121 long int i, pivot_idx = 0, last_idx, before_last_idx, next_idx, j; in igraph_convex_hull() local
175 last_idx = (long int) VECTOR(order)[0]; in igraph_convex_hull()
179 if (VECTOR(angles)[last_idx] == VECTOR(angles)[next_idx]) { in igraph_convex_hull()
183 pow(MATRIX(*data, last_idx, 1) - MATRIX(*data, pivot_idx, 1), 2); in igraph_convex_hull()
190 last_idx = next_idx; in igraph_convex_hull()
194 last_idx = next_idx; in igraph_convex_hull()
200 last_idx = -1; in igraph_convex_hull()
218 (MATRIX(*data, last_idx, 1) - MATRIX(*data, before_last_idx, 1)); in igraph_convex_hull()
229 before_last_idx = last_idx; in igraph_convex_hull()
230 last_idx = next_idx; in igraph_convex_hull()
[all …]
/dports/multimedia/aom/aom-3.2.0/av1/encoder/
H A Dthirdpass.c185 int *last_idx) { in get_current_gop_end() argument
187 *last_idx = 0; in get_current_gop_end()
202 *last_idx = cur_idx - 1; in get_current_gop_end()
217 *last_idx = cur_idx - 1; in get_current_gop_end()
229 *last_idx = cur_idx - 1; in get_current_gop_end()
236 *last_idx = cur_idx; in get_current_gop_end()
246 *last_idx = max_num - 1; in get_current_gop_end()
253 int last_idx; in av1_set_gop_third_pass() local
254 get_current_gop_end(ctx, MAX_GF_INTERVAL, &last_idx); in av1_set_gop_third_pass()
260 if (last_idx < 0) { in av1_set_gop_third_pass()
[all …]
/dports/games/0ad/0ad-0.0.23b-alpha/build/premake/premake5/contrib/mbedtls/tests/suites/
H A Dtest_suite_ctr_drbg.function101 int last_idx;
110 last_idx = test_offset_idx;
112 TEST_ASSERT( last_idx < test_offset_idx );
116 last_idx = test_offset_idx;
123 TEST_ASSERT( last_idx == test_offset_idx );
135 TEST_ASSERT( last_idx < test_offset_idx );
138 last_idx = test_offset_idx;
145 TEST_ASSERT( last_idx == test_offset_idx );
154 TEST_ASSERT( last_idx < test_offset_idx );
158 last_idx = test_offset_idx;
[all …]
/dports/cad/openroad/OpenROAD-2.0/src/OpenDB/src/db/
H A DdbLogger.cpp79 static int last_idx = -1; in isDebug() local
94 if (last_idx != -1) { in isDebug()
95 if (strcmp(debstatus[last_idx].mod, mod) == 0) { in isDebug()
96 idx = last_idx; in isDebug()
106 last_idx = idx; in isDebug()
182 static int last_idx = -1; in checkWarning() local
186 if (last_idx != -1) { in checkWarning()
187 if (strcmp(warnstr[last_idx].msg, msg) == 0) { in checkWarning()
188 idx = last_idx; in checkWarning()
196 last_idx = idx; in checkWarning()
/dports/math/primecount/primecount-7.2/lib/primesieve/src/
H A Diterator-c.cpp54 it->last_idx = 0; in primesieve_init()
69 it->last_idx = 0; in primesieve_skipto()
105 primeGenerator->fill(primes, &it->last_idx); in primesieve_generate_next_primes()
117 if (it->last_idx == 0) in primesieve_generate_next_primes()
129 it->last_idx = 1; in primesieve_generate_next_primes()
135 it->last_idx--; in primesieve_generate_next_primes()
172 it->last_idx = primes.size() - 1; in primesieve_generate_prev_primes()
173 it->i = it->last_idx; in primesieve_generate_prev_primes()
/dports/math/primesieve/primesieve-7.7/src/
H A Diterator-c.cpp54 it->last_idx = 0; in primesieve_init()
69 it->last_idx = 0; in primesieve_skipto()
105 primeGenerator->fill(primes, &it->last_idx); in primesieve_generate_next_primes()
117 if (it->last_idx == 0) in primesieve_generate_next_primes()
129 it->last_idx = 1; in primesieve_generate_next_primes()
135 it->last_idx--; in primesieve_generate_next_primes()
172 it->last_idx = primes.size() - 1; in primesieve_generate_prev_primes()
173 it->i = it->last_idx; in primesieve_generate_prev_primes()
/dports/multimedia/v4l-utils/linux-5.13-rc2/arch/powerpc/platforms/pseries/
H A Ddtl.c25 u64 last_idx; member
202 dtl->last_idx = 0; in dtl_enable()
255 u64 cur_idx, last_idx, i; in dtl_file_read() local
271 last_idx = dtl->last_idx; in dtl_file_read()
273 if (last_idx + dtl->buf_entries <= cur_idx) in dtl_file_read()
274 last_idx = cur_idx - dtl->buf_entries + 1; in dtl_file_read()
276 if (last_idx + n_req > cur_idx) in dtl_file_read()
277 n_req = cur_idx - last_idx; in dtl_file_read()
280 dtl->last_idx = last_idx + n_req; in dtl_file_read()
287 i = last_idx % dtl->buf_entries; in dtl_file_read()
/dports/multimedia/v4l_compat/linux-5.13-rc2/arch/powerpc/platforms/pseries/
H A Ddtl.c25 u64 last_idx; member
202 dtl->last_idx = 0; in dtl_enable()
255 u64 cur_idx, last_idx, i; in dtl_file_read() local
271 last_idx = dtl->last_idx; in dtl_file_read()
273 if (last_idx + dtl->buf_entries <= cur_idx) in dtl_file_read()
274 last_idx = cur_idx - dtl->buf_entries + 1; in dtl_file_read()
276 if (last_idx + n_req > cur_idx) in dtl_file_read()
277 n_req = cur_idx - last_idx; in dtl_file_read()
280 dtl->last_idx = last_idx + n_req; in dtl_file_read()
287 i = last_idx % dtl->buf_entries; in dtl_file_read()
/dports/multimedia/libv4l/linux-5.13-rc2/arch/powerpc/platforms/pseries/
H A Ddtl.c25 u64 last_idx; member
202 dtl->last_idx = 0; in dtl_enable()
255 u64 cur_idx, last_idx, i; in dtl_file_read() local
271 last_idx = dtl->last_idx; in dtl_file_read()
273 if (last_idx + dtl->buf_entries <= cur_idx) in dtl_file_read()
274 last_idx = cur_idx - dtl->buf_entries + 1; in dtl_file_read()
276 if (last_idx + n_req > cur_idx) in dtl_file_read()
277 n_req = cur_idx - last_idx; in dtl_file_read()
280 dtl->last_idx = last_idx + n_req; in dtl_file_read()
287 i = last_idx % dtl->buf_entries; in dtl_file_read()
/dports/emulators/yuzu/yuzu-0b47f7a46/externals/mbedtls/tests/suites/
H A Dtest_suite_ctr_drbg.function134 int last_idx;
143 last_idx = test_offset_idx;
145 TEST_ASSERT( last_idx < test_offset_idx );
149 last_idx = test_offset_idx;
156 TEST_ASSERT( last_idx == test_offset_idx );
168 TEST_ASSERT( last_idx < test_offset_idx );
171 last_idx = test_offset_idx;
178 TEST_ASSERT( last_idx == test_offset_idx );
187 TEST_ASSERT( last_idx < test_offset_idx );
191 last_idx = test_offset_idx;
[all …]
/dports/sysutils/diskonaut/diskonaut-0.11.0/cargo-crates/console-0.10.3/src/
H A Dansi.rs25 last_idx: usize, field
36 last_idx: 0, in new()
61 let s = &self.s[self.last_idx..m.start()]; in next()
62 self.last_idx = m.end(); in next()
71 } else if self.last_idx < self.s.len() { in next()
72 let rv = &self.s[self.last_idx..]; in next()
74 self.last_idx = self.s.len(); in next()
/dports/textproc/cast2gif/cast2gif-0.1.0/cargo-crates/console-0.10.0/src/
H A Dansi.rs25 last_idx: usize, field
36 last_idx: 0, in new()
61 let s = &self.s[self.last_idx..m.start()]; in next()
62 self.last_idx = m.end(); in next()
71 } else if self.last_idx < self.s.len() { in next()
72 let rv = &self.s[self.last_idx..]; in next()
74 self.last_idx = self.s.len(); in next()
/dports/devel/efl/efl-1.25.1/src/lib/evas/filters/
H A Devas_filter_utils.c90 int j, k, last_idx = 0; in _interpolate_linear() local
96 for (k = last_idx + 1; k < j; k++) in _interpolate_linear()
97 …output[k] = (DATA8) (points[j] + ((k - last_idx) * (points[j] - points[last_idx]) / (j - last_idx)… in _interpolate_linear()
98 last_idx = j; in _interpolate_linear()
101 val = (DATA8) points[last_idx]; in _interpolate_linear()
102 for (j = last_idx + 1; j < 256; j++) in _interpolate_linear()
/dports/deskutils/calibre/calibre-src-5.34.0/src/calibre/utils/
H A Dmatcher.c33 int32_t hidx, nidx, last_idx, i, j; in alloc_memory() local
49 for (last_idx = 0; last_idx < max_haystack_len; last_idx++) { in alloc_memory()
60 int32_t hidx, nidx, last_idx; in clear_memory() local
63 for (last_idx = 0; last_idx < max_haystack_len; last_idx++) { in clear_memory()
64 mem[hidx][nidx][last_idx].score = DBL_MAX; in clear_memory()
73 int32_t last_idx; member
108 si->hidx = hidx; si->nidx = nidx; si->last_idx = last_idx; si->score = score; in stack_push()
114 *hidx = si->hidx; *nidx = si->nidx; *last_idx = si->last_idx; *score = si->score; in stack_pop()
189 mem = m->memo[hidx][nidx][last_idx]; in process_item()
202 distance = u_countChar32(m->haystack + last_idx, pos - last_idx); in process_item()
[all …]
/dports/japanese/mozc-el/mozc-2.23.2815.102.01/src/converter/
H A Dconverter_mock_test.cc407 size_t last_idx; in TEST_F() local
413 EXPECT_EQ(input_idx, last_idx); in TEST_F()
428 size_t last_idx; in TEST_F() local
434 EXPECT_EQ(input_idx, last_idx); in TEST_F()
448 size_t last_idx; in TEST_F() local
453 EXPECT_EQ(input_idx, last_idx); in TEST_F()
470 std::vector<size_t> last_idx; in TEST_F() local
492 size_t last_idx; in TEST_F() local
498 EXPECT_EQ(input_idx, last_idx); in TEST_F()
515 size_t last_idx, last_size; in TEST_F() local
[all …]

12345678910>>...38