Home
last modified time | relevance | path

Searched refs:latency_avg (Results 1 – 18 of 18) sorted by relevance

/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/staging/greybus/tools/
H A Dlbtest96 latency_avg = read_sysfs_int(sys_pfx + 'latency_avg')
112 latency_min, latency_max, latency_avg, latency_jitter,
H A Dloopback_test.c42 float latency_avg; member
167 GET_AVG(latency_avg);
343 r->latency_avg = read_sysfs_float(d->sysfs_entry, "latency_avg"); in get_results()
383 r->latency_avg = get_latency_avg_aggregate(t); in get_results()
456 r->latency_avg, in format_output()
486 r->latency_avg, in format_output()
H A DREADME.loopback56 latency_avg
131 cat /sys/bus/greybus/devices/1-2.17/latency_avg
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/staging/greybus/tools/
H A Dlbtest96 latency_avg = read_sysfs_int(sys_pfx + 'latency_avg')
112 latency_min, latency_max, latency_avg, latency_jitter,
H A Dloopback_test.c42 float latency_avg; member
167 GET_AVG(latency_avg);
343 r->latency_avg = read_sysfs_float(d->sysfs_entry, "latency_avg"); in get_results()
383 r->latency_avg = get_latency_avg_aggregate(t); in get_results()
456 r->latency_avg, in format_output()
486 r->latency_avg, in format_output()
H A DREADME.loopback56 latency_avg
131 cat /sys/bus/greybus/devices/1-2.17/latency_avg
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/staging/greybus/tools/
H A Dlbtest96 latency_avg = read_sysfs_int(sys_pfx + 'latency_avg')
112 latency_min, latency_max, latency_avg, latency_jitter,
H A Dloopback_test.c42 float latency_avg; member
167 GET_AVG(latency_avg);
343 r->latency_avg = read_sysfs_float(d->sysfs_entry, "latency_avg"); in get_results()
383 r->latency_avg = get_latency_avg_aggregate(t); in get_results()
456 r->latency_avg, in format_output()
486 r->latency_avg, in format_output()
H A DREADME.loopback56 latency_avg
131 cat /sys/bus/greybus/devices/1-2.17/latency_avg
/dports/dns/dnsperf/dnsperf-2.7.0/src/
H A Ddnsperf.c261 uint64_t latency_avg; in print_statistics() local
311 latency_avg = PERF_SAFE_DIV(stats->latency_sum, stats->num_completed); in print_statistics()
313 (unsigned int)(latency_avg / MILLION), in print_statistics()
314 (unsigned int)(latency_avg % MILLION), in print_statistics()
334 latency_avg = PERF_SAFE_DIV(stats->conn_latency_sum, stats->num_conn_completed); in print_statistics()
336 (unsigned int)(latency_avg / MILLION), in print_statistics()
337 (unsigned int)(latency_avg % MILLION), in print_statistics()
/dports/net/librdkafka/librdkafka-1.8.2/examples/
H A Drdkafka_performance.c498 double latency_avg = 0.0f; in print_stats() local
520 latency_avg = (double)cnt.latency_sum / in print_stats()
576 COL_PRF("lat_avg", latency_avg / 1000.0f); in print_stats()
638 COL_PRF("lat_avg", latency_avg / 1000.0f); in print_stats()
647 if (latency_avg >= 1.0f) in print_stats()
654 latency_avg / 1000.0f, in print_stats()
/dports/sysutils/vector/vector-0.10.0/cargo-crates/rdkafka-sys-2.0.0+1.4.2/librdkafka/examples/
H A Drdkafka_performance.c465 double latency_avg = 0.0f; in print_stats() local
487 latency_avg = (double)cnt.latency_sum / in print_stats()
543 COL_PRF("lat_avg", latency_avg / 1000.0f); in print_stats()
605 COL_PRF("lat_avg", latency_avg / 1000.0f); in print_stats()
614 if (latency_avg >= 1.0f) in print_stats()
621 latency_avg / 1000.0f, in print_stats()
/dports/sysutils/fluent-bit/fluent-bit-1.8.11/plugins/out_kafka/librdkafka-1.7.0/examples/
H A Drdkafka_performance.c498 double latency_avg = 0.0f; in print_stats() local
520 latency_avg = (double)cnt.latency_sum / in print_stats()
576 COL_PRF("lat_avg", latency_avg / 1000.0f); in print_stats()
638 COL_PRF("lat_avg", latency_avg / 1000.0f); in print_stats()
647 if (latency_avg >= 1.0f) in print_stats()
654 latency_avg / 1000.0f, in print_stats()
/dports/benchmarks/sysbench/sysbench-1.0.20/src/
H A Dsysbench.h98 double latency_avg; /* Average latency (cumulative reports only) */ member
H A Dsysbench.c294 SEC2MS(stat->latency_avg)); in sb_report_cumulative()
388 stat.latency_avg = NS2SEC(sb_timer_avg(&t)); in report_cumulative()
H A Dsb_lua.c1616 stat_to_number(latency_avg); in sb_lua_report_cumulative()
/dports/net/dpinger/dpinger-3.0/rrd/
H A Ddpinger_rrd_graph75 VDEF:latency_avg=latency,AVERAGE \
/dports/dns/bind911/bind-9.11.37/contrib/dnsperf-2.1.0.0-1/
H A Ddnsperf.c273 uint64_t latency_avg; in print_statistics() local
326 latency_avg = SAFE_DIV(stats->latency_sum, stats->num_completed); in print_statistics()
328 (unsigned int)(latency_avg / MILLION), in print_statistics()
329 (unsigned int)(latency_avg % MILLION), in print_statistics()