Home
last modified time | relevance | path

Searched refs:latency_cnt (Results 1 – 8 of 8) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_streamers/
H A Dxrx_streamers_stats.vhd91 signal latency_cnt : unsigned(g_cnt_width-1 downto 0); signal
151 latency_cnt <= (others => '0');
164 latency_cnt <= latency_cnt + 1;
199 latency_cnt_d1 <= latency_cnt;
227 std_logic_vector(latency_cnt);
H A Dxwr_streamers.vhd184 signal latency_cnt : std_logic_vector(g_stats_cnt_width-1 downto 0); signal
317 latency_cnt_o => latency_cnt,
344 …to_wb.rx_stat12_rx_latency_acc_cnt_lsb_i <= latency_cnt (31 downto 0…
345 …to_wb.rx_stat13_rx_latency_acc_cnt_msb_i(c_cw-32-1 downto 0) <= latency_cnt (c_cw-1 downto 3…
H A Dxrtx_streamers_stats.vhd125 signal latency_cnt : unsigned(g_cnt_width-1 downto 0); signal
/dports/net/librdkafka/librdkafka-1.8.2/examples/
H A Drdkafka_performance.c120 int latency_cnt; member
178 cnt.latency_cnt++; in latency_add()
519 if (latency_mode && cnt.latency_cnt) in print_stats()
521 (double)cnt.latency_cnt; in print_stats()
/dports/sysutils/vector/vector-0.10.0/cargo-crates/rdkafka-sys-2.0.0+1.4.2/librdkafka/examples/
H A Drdkafka_performance.c120 int latency_cnt; member
178 cnt.latency_cnt++; in latency_add()
486 if (latency_mode && cnt.latency_cnt) in print_stats()
488 (double)cnt.latency_cnt; in print_stats()
/dports/sysutils/fluent-bit/fluent-bit-1.8.11/plugins/out_kafka/librdkafka-1.7.0/examples/
H A Drdkafka_performance.c120 int latency_cnt; member
178 cnt.latency_cnt++; in latency_add()
519 if (latency_mode && cnt.latency_cnt) in print_stats()
521 (double)cnt.latency_cnt; in print_stats()
/dports/games/xonotic/Xonotic/source/qcsrc/server/
H A Dg_world.qc42 .float latency_cnt;
68 e.latency_cnt += 1;
70 …sum: ", ftos(e.latency_sum), ", cnt: ", ftos(e.latency_cnt), ", avg: ", ftos(e.latency_sum / e.lat…
/dports/games/xonotic/Xonotic/source/qcsrc/common/
H A Dplayerstats.qc149 if(p.latency_cnt)
151 float latency = (p.latency_sum / p.latency_cnt);