Home
last modified time | relevance | path

Searched refs:lo_nibble (Results 1 – 25 of 129) sorted by relevance

123456

/dports/comms/rtl-433/rtl_433-21.12/src/devices/
H A Dradiohead_ask.c100 uint8_t lo_nibble = symbol_6to4(rxBits[1]); in radiohead_ask_extract() local
101 if (lo_nibble > 0xF) { in radiohead_ask_extract()
107 uint8_t byte = hi_nibble << 4 | lo_nibble; in radiohead_ask_extract()
/dports/lang/gcc10/gcc-10.3.0/libiberty/
H A Drust-demangle.c170 int lo_nibble = -1, hi_nibble = -1; in decode_legacy_escape() local
209 lo_nibble = decode_lower_hex_nibble (e[2]); in decode_legacy_escape()
210 if (lo_nibble < 0) in decode_legacy_escape()
216 c = (hi_nibble << 4) | lo_nibble; in decode_legacy_escape()
/dports/devel/avr-gcc/gcc-10.2.0/libiberty/
H A Drust-demangle.c170 int lo_nibble = -1, hi_nibble = -1; in decode_legacy_escape() local
209 lo_nibble = decode_lower_hex_nibble (e[2]); in decode_legacy_escape()
210 if (lo_nibble < 0) in decode_legacy_escape()
216 c = (hi_nibble << 4) | lo_nibble; in decode_legacy_escape()
/dports/lang/gcc10-devel/gcc-10-20211008/libiberty/
H A Drust-demangle.c170 int lo_nibble = -1, hi_nibble = -1; in decode_legacy_escape() local
209 lo_nibble = decode_lower_hex_nibble (e[2]); in decode_legacy_escape()
210 if (lo_nibble < 0) in decode_legacy_escape()
216 c = (hi_nibble << 4) | lo_nibble; in decode_legacy_escape()
/dports/devel/llvm-cheri/llvm-project-37c49ff00e3eadce5d8703fdc4497f28458c64a8/lldb/source/Utility/
H A DUUID.cpp69 int lo_nibble = xdigit_to_int(p[1]); in DecodeUUIDBytesFromString() local
71 uuid_bytes.push_back((hi_nibble << 4) + lo_nibble); in DecodeUUIDBytesFromString()
/dports/devel/tinygo/tinygo-0.14.1/llvm-project/lldb/source/Utility/
H A DUUID.cpp70 int lo_nibble = xdigit_to_int(p[1]); in DecodeUUIDBytesFromString() local
72 uuid_bytes.push_back((hi_nibble << 4) + lo_nibble); in DecodeUUIDBytesFromString()
/dports/www/chromium-legacy/chromium-88.0.4324.182/third_party/llvm/lldb/source/Utility/
H A DUUID.cpp79 int lo_nibble = xdigit_to_int(p[1]); in DecodeUUIDBytesFromString() local
81 uuid_bytes.push_back((hi_nibble << 4) + lo_nibble); in DecodeUUIDBytesFromString()
/dports/devel/wasi-libcxx/llvm-project-13.0.1.src/lldb/source/Utility/
H A DUUID.cpp79 int lo_nibble = xdigit_to_int(p[1]); in DecodeUUIDBytesFromString() local
81 uuid_bytes.push_back((hi_nibble << 4) + lo_nibble); in DecodeUUIDBytesFromString()
/dports/devel/llvm12/llvm-project-12.0.1.src/lldb/source/Utility/
H A DUUID.cpp79 int lo_nibble = xdigit_to_int(p[1]); in DecodeUUIDBytesFromString() local
81 uuid_bytes.push_back((hi_nibble << 4) + lo_nibble); in DecodeUUIDBytesFromString()
/dports/lang/rust/rustc-1.58.1-src/src/llvm-project/lldb/source/Utility/
H A DUUID.cpp79 int lo_nibble = xdigit_to_int(p[1]); in DecodeUUIDBytesFromString() local
81 uuid_bytes.push_back((hi_nibble << 4) + lo_nibble); in DecodeUUIDBytesFromString()
/dports/devel/llvm-devel/llvm-project-f05c95f10fc1d8171071735af8ad3a9e87633120/lldb/source/Utility/
H A DUUID.cpp79 int lo_nibble = xdigit_to_int(p[1]); in DecodeUUIDBytesFromString() local
81 uuid_bytes.push_back((hi_nibble << 4) + lo_nibble); in DecodeUUIDBytesFromString()
/dports/devel/wasi-compiler-rt13/llvm-project-13.0.1.src/lldb/source/Utility/
H A DUUID.cpp79 int lo_nibble = xdigit_to_int(p[1]); in DecodeUUIDBytesFromString() local
81 uuid_bytes.push_back((hi_nibble << 4) + lo_nibble); in DecodeUUIDBytesFromString()
/dports/devel/wasi-compiler-rt12/llvm-project-12.0.1.src/lldb/source/Utility/
H A DUUID.cpp79 int lo_nibble = xdigit_to_int(p[1]); in DecodeUUIDBytesFromString() local
81 uuid_bytes.push_back((hi_nibble << 4) + lo_nibble); in DecodeUUIDBytesFromString()
/dports/devel/llvm13/llvm-project-13.0.1.src/lldb/source/Utility/
H A DUUID.cpp79 int lo_nibble = xdigit_to_int(p[1]);
81 uuid_bytes.push_back((hi_nibble << 4) + lo_nibble);
/dports/net/wireshark-lite/wireshark-3.6.1/epan/dissectors/
H A Dpacket-carp.c65 (try_val_to_str(lo_nibble(ver_type), carp_type_vals) == NULL)) in test_carp_packet()
104 hi_nibble(ver_type), lo_nibble(ver_type), in dissect_carp()
105 val_to_str_const(lo_nibble(ver_type), carp_type_vals, "Unknown")); in dissect_carp()
/dports/net/wireshark/wireshark-3.6.1/epan/dissectors/
H A Dpacket-carp.c65 (try_val_to_str(lo_nibble(ver_type), carp_type_vals) == NULL)) in test_carp_packet()
104 hi_nibble(ver_type), lo_nibble(ver_type), in dissect_carp()
105 val_to_str_const(lo_nibble(ver_type), carp_type_vals, "Unknown")); in dissect_carp()
/dports/net/tshark-lite/wireshark-3.6.1/epan/dissectors/
H A Dpacket-carp.c65 (try_val_to_str(lo_nibble(ver_type), carp_type_vals) == NULL))
104 hi_nibble(ver_type), lo_nibble(ver_type),
105 val_to_str_const(lo_nibble(ver_type), carp_type_vals, "Unknown"));
/dports/net/tshark/wireshark-3.6.1/epan/dissectors/
H A Dpacket-carp.c65 (try_val_to_str(lo_nibble(ver_type), carp_type_vals) == NULL)) in test_carp_packet()
104 hi_nibble(ver_type), lo_nibble(ver_type), in dissect_carp()
105 val_to_str_const(lo_nibble(ver_type), carp_type_vals, "Unknown")); in dissect_carp()
/dports/www/chromium-legacy/chromium-88.0.4324.182/third_party/llvm/lldb/tools/debugserver/source/
H A DStdStringExtractor.cpp58 const int lo_nibble = xdigit_to_sint(m_packet[m_index + 1]); in DecodeHexU8() local
59 if (hi_nibble == -1 || lo_nibble == -1) { in DecodeHexU8()
63 return (uint8_t)((hi_nibble << 4) + lo_nibble); in DecodeHexU8()
/dports/devel/wasi-libcxx/llvm-project-13.0.1.src/lldb/tools/debugserver/source/
H A DStdStringExtractor.cpp57 const int lo_nibble = xdigit_to_sint(m_packet[m_index + 1]); in DecodeHexU8() local
58 if (hi_nibble == -1 || lo_nibble == -1) { in DecodeHexU8()
62 return (uint8_t)((hi_nibble << 4) + lo_nibble); in DecodeHexU8()
/dports/devel/llvm-cheri/llvm-project-37c49ff00e3eadce5d8703fdc4497f28458c64a8/lldb/tools/debugserver/source/
H A DStdStringExtractor.cpp58 const int lo_nibble = xdigit_to_sint(m_packet[m_index + 1]); in DecodeHexU8() local
59 if (hi_nibble == -1 || lo_nibble == -1) { in DecodeHexU8()
63 return (uint8_t)((hi_nibble << 4) + lo_nibble); in DecodeHexU8()
/dports/databases/freetds-devel/freetds-dev.1.3.7/src/server/
H A Dlogin.c64 unsigned char hi_nibble, lo_nibble; in tds7_decrypt_pass() local
67 lo_nibble = (crypt_pass[i] << 4) ^ (xormask & 0xF0); in tds7_decrypt_pass()
69 clear_pass[i] = hi_nibble | lo_nibble; in tds7_decrypt_pass()
/dports/devel/llvm12/llvm-project-12.0.1.src/lldb/tools/debugserver/source/
H A DStdStringExtractor.cpp58 const int lo_nibble = xdigit_to_sint(m_packet[m_index + 1]); in DecodeHexU8() local
59 if (hi_nibble == -1 || lo_nibble == -1) { in DecodeHexU8()
63 return (uint8_t)((hi_nibble << 4) + lo_nibble); in DecodeHexU8()
/dports/lang/rust/rustc-1.58.1-src/src/llvm-project/lldb/tools/debugserver/source/
H A DStdStringExtractor.cpp57 const int lo_nibble = xdigit_to_sint(m_packet[m_index + 1]); in DecodeHexU8() local
58 if (hi_nibble == -1 || lo_nibble == -1) { in DecodeHexU8()
62 return (uint8_t)((hi_nibble << 4) + lo_nibble); in DecodeHexU8()
/dports/devel/llvm-devel/llvm-project-f05c95f10fc1d8171071735af8ad3a9e87633120/lldb/tools/debugserver/source/
H A DStdStringExtractor.cpp57 const int lo_nibble = xdigit_to_sint(m_packet[m_index + 1]); in DecodeHexU8() local
58 if (hi_nibble == -1 || lo_nibble == -1) { in DecodeHexU8()
62 return (uint8_t)((hi_nibble << 4) + lo_nibble); in DecodeHexU8()

123456