Home
last modified time | relevance | path

Searched refs:meanValue (Results 1 – 25 of 93) sorted by relevance

1234

/dports/graphics/kdiagram/kdiagram-2.8.0/src/KChart/Cartesian/
H A DKChartLeveyJenningsGrid.cpp295 const float meanValue = diag->expectedMeanValue(); in drawGrid() local
310 topLeft = plane->translate( QPointF( dimX.start, meanValue + 2 * standardDeviation ) ); in drawGrid()
311 bottomRight = plane->translate( QPointF( dimX.end, meanValue + 3 * standardDeviation ) ); in drawGrid()
315 topLeft = plane->translate( QPointF( dimX.start, meanValue - 2 * standardDeviation ) ); in drawGrid()
316 bottomRight = plane->translate( QPointF( dimX.end, meanValue - 3 * standardDeviation ) ); in drawGrid()
321 topLeft = plane->translate( QPointF( dimX.start, meanValue + 3 * standardDeviation ) ); in drawGrid()
322 bottomRight = plane->translate( QPointF( dimX.end, meanValue + 4 * standardDeviation ) ); in drawGrid()
326 topLeft = plane->translate( QPointF( dimX.start, meanValue - 3 * standardDeviation ) ); in drawGrid()
327 bottomRight = plane->translate( QPointF( dimX.end, meanValue - 4 * standardDeviation ) ); in drawGrid()
335 context->painter()->drawLine( plane->translate( QPointF( dimX.start, meanValue ) ), in drawGrid()
[all …]
H A DKChartLeveyJenningsAxis.cpp142 …const qreal meanValue = type() == LeveyJenningsGridAttributes::Expected ? diag->expectedMe… in paintAsOrdinate() local
155 const QVector< qreal > values = QVector< qreal >() << ( meanValue - 3 * standardDeviation ) in paintAsOrdinate()
156 << ( meanValue - 2 * standardDeviation ) in paintAsOrdinate()
157 << ( meanValue ) in paintAsOrdinate()
158 << ( meanValue + 2 * standardDeviation ) in paintAsOrdinate()
159 << ( meanValue + 3 * standardDeviation ); in paintAsOrdinate()
/dports/graphics/opencv/opencv-4.5.3/contrib/modules/bioinspired/src/
H A Dtemplatebuffer.hpp288 …static void normalizeGrayOutputCentredSigmoide(const type meanValue, const type sensitivity, const…
296meanValue=(type)0.0, const type sensitivity=(type)2.0, const type maxOutputValue=(type)255.0) { C… in normalizeGrayOutputCentredSigmoide() argument
319 double meanValue=getMean(); in getStandardDeviation() local
324 double diff=(*(bufferPTR++)-meanValue); in getStandardDeviation()
476 …void TemplateBuffer<type>::normalizeGrayOutputCentredSigmoide(const type meanValue, const type sen… in normalizeGrayOutputCentredSigmoide() argument
492 …*(outputBufferPTR++)=(meanValue+(meanValue+X0)*(*(inputBufferPTR)-meanValue)/(_abs(*(inputBufferPT… in normalizeGrayOutputCentredSigmoide()
503 type meanValue=0, stdValue=0; in centerReductImageLuminance() local
507 meanValue+=inputOutputBuffer[j]; in centerReductImageLuminance()
508 meanValue/=((type)_NBpixels); in centerReductImageLuminance()
514 type inputMinusMean=*(inputOutputBufferPTR++)-meanValue; in centerReductImageLuminance()
[all …]
H A Dretinafilter.cpp226 …put_0_maxOutputValue, const float maxOutputValue, const float maxInputValue, const float meanValue) in setGlobalParameters() argument
231 _photoreceptorsPrefilter.setV0CompressionParameter(0.9f, maxInputValue, meanValue); in setGlobalParameters()
237 _ParvoRetinaFilter.setV0CompressionParameter(0.9f, maxInputValue, meanValue); in setGlobalParameters()
239 _MagnoRetinaFilter.setV0CompressionParameter(0.7f, maxInputValue, meanValue); in setGlobalParameters()
/dports/biology/checkm/CheckM-1.0.18/scripts/
H A DcalculateBounds.py53 meanValue = float(dataLine.split('=')[1])
54 meanValues[genomeId] = meanValue
109 meanValue = queueIn.get(block=True, timeout=None)
110 if meanValue == None:
114 genomeIds = self.__getGenomesInRange(meanValues, meanValue-width, meanValue+width)
131 queueOut.put((meanValue, d))
142 meanValue, dist = queue.get(block=True, timeout=None)
143 if meanValue == None:
150 globalDist[meanValue] = dist
/dports/biology/bamutil/bamUtil-1.0.15/libStatGen/general/
H A DTrimSequence.h64 typename sequenceType::iterator trimSequence(sequenceType &sequence, meanValueType meanValue, const… in trimSequence() argument
67 int windowThreshold = howManyValues * meanValue; in trimSequence()
106 while (*(it-1) >= meanValue && (it-1) >= sequenceBegin) in trimSequence()
127 while (*(it+1) >= meanValue && (it+1) <= sequenceBegin) in trimSequence()
/dports/lang/spidermonkey60/firefox-60.9.0/third_party/aom/av1/common/x86/
H A Dfilterintra_sse4.c144 int meanValue = 0; in CalcRefPixelsMeanValue() local
152 return meanValue; in CalcRefPixelsMeanValue()
479 pred[r + 1][0] = (int)left[r] - meanValue; in GeneratePrediction()
484 pred[0][c] = (int)above[c] - meanValue; in GeneratePrediction()
503 int meanValue = 0; in FilterPrediction() local
752 int meanValue = 0; in HighbdCalcRefPixelsMeanValue() local
764 return meanValue; in HighbdCalcRefPixelsMeanValue()
781 pred[r + 1][0] = (int)left[r] - meanValue; in HighbdGeneratePrediction()
786 pred[0][c] = (int)above[c] - meanValue; in HighbdGeneratePrediction()
802 ipred = pred[r + 1][c + 1] + meanValue; in HighbdGeneratePrediction()
[all …]
/dports/java/jfreechart/jfreechart-1.0.17/source/org/jfree/chart/renderer/category/
H A DStatisticalLineAndShapeRenderer.java248 Number meanValue = statDataset.getMeanValue(row, column); in drawItem() local
249 if (meanValue == null) { in drawItem()
266 double y1 = rangeAxis.valueToJava2D(meanValue.doubleValue(), dataArea, in drawItem()
278 if ((meanValue.doubleValue() + valueDelta) in drawItem()
285 highVal = rangeAxis.valueToJava2D(meanValue.doubleValue() in drawItem()
289 if ((meanValue.doubleValue() + valueDelta) in drawItem()
296 lowVal = rangeAxis.valueToJava2D(meanValue.doubleValue() in drawItem()
366 y1, x1, (meanValue.doubleValue() < 0.0)); in drawItem()
370 x1, y1, (meanValue.doubleValue() < 0.0)); in drawItem()
H A DStatisticalBarRenderer.java277 Number meanValue = dataset.getMeanValue(row, column); in drawHorizontalItem() local
278 if (meanValue == null) { in drawHorizontalItem()
281 double value = meanValue.doubleValue(); in drawHorizontalItem()
350 double highVal = rangeAxis.valueToJava2D(meanValue.doubleValue() in drawHorizontalItem()
352 double lowVal = rangeAxis.valueToJava2D(meanValue.doubleValue() in drawHorizontalItem()
424 Number meanValue = dataset.getMeanValue(row, column); in drawVerticalItem() local
425 if (meanValue == null) { in drawVerticalItem()
429 double value = meanValue.doubleValue(); in drawVerticalItem()
497 double highVal = rangeAxis.valueToJava2D(meanValue.doubleValue() in drawVerticalItem()
499 double lowVal = rangeAxis.valueToJava2D(meanValue.doubleValue() in drawVerticalItem()
/dports/graphics/ossim/ossim-OrchidIsland-2.11.1/src/imaging/
H A DossimIntensityAdjustmentFilter.cpp64 theMeanIntensityTarget = theMeanIntensityGrid.meanValue(); in getTile()
268 double meanValue, in matchTargetMean() argument
274 const double delta = targetMean - meanValue; in matchTargetMean()
278 if (inputValue <= meanValue) in matchTargetMean()
280 weight = fabs((inputValue - minValue) / (meanValue - minValue)); in matchTargetMean()
284 weight = fabs((maxValue - inputValue) / (maxValue - meanValue)); in matchTargetMean()
/dports/audio/sc3-plugins/sc3-plugins-Version-3.9.0/source/DEINDUGens/include/faust/dsp/
H A Ddsp-bench.h147 … uint64 meanValue(std::vector<uint64>::const_iterator a, std::vector<uint64>::const_iterator b) in meanValue() function
218 uint64 meavalx = meanValue(V.begin(), V.begin() + 10); in getStats()
237 uint64 meaval00 = meanValue(V.begin(), V.begin()+ 5); in printStats()
238 … uint64 meaval25 = meanValue(V.begin() + fMeasureCount / 4 - 2, V.begin()+fMeasureCount / 4 + 3); in printStats()
239 … uint64 meaval50 = meanValue(V.begin() + fMeasureCount / 2 - 2, V.begin()+fMeasureCount / 2 + 3); in printStats()
240 …uint64 meaval75 = meanValue(V.begin() + 3 * fMeasureCount / 4 - 2, V.begin() + 3 * fMeasureCount /… in printStats()
241 uint64 meaval100 = meanValue(V.end() - 5, V.end()); in printStats()
/dports/math/blaze/blaze-3.8/blaze/math/expressions/
H A DSVecVarExpr.h84 const auto meanValue( mean( *sv ) ); in decltype()
85 auto variance( ( n - nz ) * pow2( meanValue ) ); in decltype()
89 variance += pow2( element->value() - meanValue ); in decltype()
/dports/biology/gatk/gatk-4.2.0.0/src/main/java/org/broadinstitute/hellbender/tools/walkers/varianteval/evaluators/
H A DVariantSummary.java104 public final int meanValue(Type type) { in meanValue() method in VariantSummary.TypeSampleMap
240 nSNPsPerSample = countsPerSample.meanValue(Type.SNP); in finalizeEvaluation()
241 nIndelsPerSample = countsPerSample.meanValue(Type.INDEL); in finalizeEvaluation()
242 nSVsPerSample = countsPerSample.meanValue(Type.CNV); in finalizeEvaluation()
248 SNPDPPerSample = depthPerSample.meanValue(Type.SNP); in finalizeEvaluation()
249 IndelDPPerSample = depthPerSample.meanValue(Type.INDEL); in finalizeEvaluation()
/dports/science/InsightToolkit/ITK-5.0.1/Modules/Numerics/Statistics/include/
H A DitkStandardDeviationPerComponentSampleFilter.hxx187 const double meanValue = sum[i] / totalFrequency; in GenerateData() local
188 mean[i] = meanValue; in GenerateData()
190 ( sumOfSquares[i] - meanValue * meanValue * totalFrequency ) / ( totalFrequency - 1.0 ); in GenerateData()
/dports/biology/haplohseq/haplohseq-0.1.2/src/
H A DFreqPhase.h48 double meanValue(const std::vector<double>& values);
51 double meanValue(const std::vector<int>& values);
H A DFreqPhase.cpp177 double FreqPhase::meanValue(const std::vector<double>& values) { in meanValue() function in haplohseq::FreqPhase
203 double FreqPhase::meanValue(const std::vector<int>& values) { in meanValue() function in haplohseq::FreqPhase
/dports/audio/faust/faust-2.37.3/architecture/faust/dsp/
H A Ddsp-bench.h149 …uint64_t meanValue(std::vector<uint64_t>::const_iterator a, std::vector<uint64_t>::const_iterator … in meanValue() function
215 uint64_t meavalx = meanValue(V.begin(), V.begin() + 10); in getStats()
234 uint64_t meaval00 = meanValue(V.begin(), V.begin()+ 5); in printStats()
235 uint64_t meaval25 = meanValue(V.begin() + fCount / 4 - 2, V.begin()+fCount / 4 + 3); in printStats()
236 uint64_t meaval50 = meanValue(V.begin() + fCount / 2 - 2, V.begin()+fCount / 2 + 3); in printStats()
237 … uint64_t meaval75 = meanValue(V.begin() + 3 * fCount / 4 - 2, V.begin() + 3 * fCount / 4 + 3); in printStats()
238 uint64_t meaval100 = meanValue(V.end() - 5, V.end()); in printStats()
/dports/science/dakota/dakota-6.13.0-release-public.src-UI/packages/external/queso/src/basic/inc/
H A DScalarSequence.h218 const T& meanValue) const;
228 const T& meanValue) const;
243 const T& meanValue) const;
257 const T& meanValue,
477 const T& meanValue) const;
/dports/science/dakota/dakota-6.13.0-release-public.src-UI/packages/external/queso/src/basic/src/
H A DScalarSequence.C1016 const T& meanValue) const in subSampleVarianceExtra() argument
1029 diff = m_seq[j] - meanValue; in subSampleVarianceExtra()
1102 const T& meanValue) const in subSampleStd() argument
1115 diff = m_seq[j] - meanValue; in subSampleStd()
1190 const T& meanValue) const in subPopulationVariance() argument
1203 diff = m_seq[j] - meanValue; in subPopulationVariance()
1276 const T& meanValue, in autoCovariance() argument
1291 diff1 = m_seq[j ] - meanValue; in autoCovariance()
1292 diff2 = m_seq[j+lag] - meanValue; in autoCovariance()
3424 const T& meanValue) const in subMeanCltStd() argument
[all …]
/dports/misc/mnn/MNN-1.2.0/source/backend/cpu/
H A DCPUInstanceNorm.cpp69 float32x4_t meanValue = vld1q_f32(batchMeanPtr + channelOffset); in onExecute() local
75 float32x4_t beta = vsubq_f32(biasVaule, meanValue * gamma); in onExecute()
/dports/graphics/dbow2/DBoW2-1.1-free-23-g3924753/include/DBoW2/
H A DFClass.h35 virtual void meanValue(const std::vector<pDescriptor> &descriptors,
H A DFBrief.h36 static void meanValue(const std::vector<pDescriptor> &descriptors,
H A DFSurf64.h47 static void meanValue(const std::vector<pDescriptor> &descriptors,
H A DFORB.h38 static void meanValue(const std::vector<pDescriptor> &descriptors,
/dports/biology/mmseqs2/MMseqs2-13-45111/src/util/
H A Dresult2stats.h41 int meanValue();

1234