Home
last modified time | relevance | path

Searched refs:meanv (Results 1 – 25 of 43) sorted by relevance

12

/dports/math/randlib/source/randlib.f/src/
H A Dsetgmn.f1 SUBROUTINE setgmn(meanv,covm,ldcovm,p,parm) argument
55 REAL covm(ldcovm,p),meanv(p),parm(p* (p+3)/2+1)
78 parm(i) = meanv(i-1)
/dports/math/scilab/scilab-6.1.1/scilab/modules/randlib/src/fortran/
H A Dsetgmn.f1 SUBROUTINE setgmn(meanv,covm,ldcovm,p,parm,ierr) argument
55 DOUBLE PRECISION covm(ldcovm,p),meanv(p),parm(p* (p+3)/2+1)
73 parm(i) = meanv(i-1)
/dports/math/octave/octave-6.4.0/liboctave/external/ranlib/
H A Dsetgmn.f1 SUBROUTINE setgmn(meanv,covm,ldcovm,p,parm) argument
55 REAL covm(ldcovm,p),meanv(p),parm(p* (p+3)/2+1) local
78 parm(i) = meanv(i-1)
H A Dtstgmn.for147 C JJV SETGMN was: SUBROUTINE setgmn(meanv,covm,p,parm)
148 C JJV is: SUBROUTINE setgmn(meanv,covm,ldcovm,p,parm)
/dports/science/dynare/dynare-4.6.4/contrib/dmm/randlib/
H A Dsetgmn.f1 SUBROUTINE setgmn(meanv,covm,ldcovm,p,parm) argument
55 DOUBLE PRECISION covm(ldcovm,p),meanv(p),parm(p*(p+3)/2+1)
79 parm(i) = meanv(i-1)
/dports/math/randlib/RANDLIB90/source/randlib90/source/
H A Drandom_multivariate_normal_mod.f9087 SUBROUTINE set_random_multivariate_normal(meanv,covm,p) argument
96 REAL, INTENT (IN) :: meanv(p) local
150 size_meanv = SIZE(meanv)
163 param(2:p+1) = meanv(:p)
/dports/graphics/gmt/gmt-6.3.0/src/
H A Dfitcircle.c373 double meanv[3], cross[3], cross_sum[3], gcpole[3], scpole[3]; /* Extra vectors */ in GMT_fitcircle() local
497 gmt_M_memset (meanv, 3, double); in GMT_fitcircle()
498 for (i = 0; i < n_data; i++) for (j = 0; j < 3; j++) meanv[j] += data[i].x[j]; in GMT_fitcircle()
499 gmt_normalize3v (GMT, meanv); in GMT_fitcircle()
503 gmt_cart_to_geo (GMT, &latsum, &lonsum, meanv, true); in GMT_fitcircle()
515 gmt_cross3v (GMT, &data[i].x[0], meanv, cross); in GMT_fitcircle()
546 …rad = fitcircle_get_small_circle (GMT, data, n_data, meanv, gcpole, scpole, 1, work, Ctrl->S.mode,… in GMT_fitcircle()
585 if (d_acos (gmt_dot3v (GMT, v, meanv)) > M_PI_2) in GMT_fitcircle()
586 for (i = 0; i < 3; i++) meanv[i] = -v[imax*np+i]; in GMT_fitcircle()
588 for (i = 0; i < 3; i++) meanv[i] = v[imax*np+i]; in GMT_fitcircle()
[all …]
/dports/games/torcs/torcs-1.3.7/src/modules/simu/simuv3/
H A Ddifferential.cpp111 tdble meanv; in SimDifferentialUpdate() local
282 meanv = (spinVel0 + spinVel1) / 2.0; in SimDifferentialUpdate()
283 engineReaction = SimEngineUpdateRpm(car, meanv); in SimDifferentialUpdate()
284 if (meanv != 0.0) { in SimDifferentialUpdate()
285 engineReaction = engineReaction/meanv; in SimDifferentialUpdate()
/dports/games/torcs/torcs-1.3.7/src/modules/simu/simuv2/
H A Ddifferential.cpp153 tdble meanv; in SimDifferentialUpdate() local
257 meanv = (spinVel0 + spinVel1) / 2.0; in SimDifferentialUpdate()
258 engineReaction = SimEngineUpdateRpm(car, meanv); in SimDifferentialUpdate()
259 if (meanv != 0.0) { in SimDifferentialUpdate()
260 engineReaction = engineReaction / meanv; in SimDifferentialUpdate()
/dports/science/dakota/dakota-6.13.0-release-public.src-UI/packages/external/acro/packages/utilib/src/libs/
H A Dgenmn.c70 void setgmn(double *meanv,double *covm,long p,double *parm) in setgmn() argument
113 for(i=2,D2=1,D3=(p+1-i+D2)/D2; D3>0; D3--,i+=D2) *(parm+i-1) = *(meanv+i-2);/* in setgmn()
/dports/misc/elki/elki-release0.7.1-1166-gfb1fffdf3/elki-outlier/src/main/java/de/lmu/ifi/dbs/elki/algorithm/outlier/subspace/
H A DOUTRES.java154 MeanVariance meanv = new MeanVariance(); in outresScore() local
169 meanv.reset(); in outresScore()
172 meanv.put(kernel.subspaceDensity(subspace, nn)); in outresScore()
174 final double deviation = (meanv.getMean() - density) / (2. * meanv.getSampleStddev()); in outresScore()
/dports/misc/vxl/vxl-3.3.2/contrib/brl/bbas/bsta/algo/
H A Dbsta_sample_set.h213 T meanv; in bsta_sample_set_fit_distribution() local
214 set.mode_mean(mi, meanv); in bsta_sample_set_fit_distribution()
219 bsta_gaussian_sphere<T,1> gauss_d(meanv,var); in bsta_sample_set_fit_distribution()
245 vnl_vector_fixed<T,n> meanv; in bsta_sample_set_fit_distribution() local
246 set.mode_mean(mi, meanv); in bsta_sample_set_fit_distribution()
251 bsta_gaussian_full<T,n> gauss_d(meanv,covar); in bsta_sample_set_fit_distribution()
/dports/misc/elki/elki-release0.7.1-1166-gfb1fffdf3/elki-outlier/src/main/java/de/lmu/ifi/dbs/elki/algorithm/outlier/spatial/
H A DCTLuScatterplotOutlier.java132 double[] meanv = covm.getMeanVector(); in run() local
136 inter = meanv[1] - slope * meanv[0]; in run()
/dports/science/dakota/dakota-6.13.0-release-public.src-UI/packages/external/acro/packages/utilib/src/utilib/
H A DLEC_rng.h36 extern void setgmn(double *meanv,double *covm,long p,double *parm);
/dports/math/R-cran-labeling/labeling/R/
H A Dlabeling.R678 meanv <- 0.5*(min+max) functionVar
680 if ((abs(meanv)/dv) < threshold)
682 else if (meanv>0)
684 exp<-floor(log10(meanv))
688 exp <- floor(log10(-1*meanv))
/dports/math/p5-Math-Random/Math-Random-0.72/
H A Drandlib.h29 extern void setgmn(double *meanv,double *covm,long p,double *parm);
/dports/math/pecl-stats2/stats-2.0.3/
H A Drandlib.h29 extern void setgmn(float *meanv,float *covm,long p,float *parm);
/dports/math/randlib/source/randlib.c/src/
H A Drandlib.h29 extern void setgmn(float *meanv,float *covm,long p,float *parm);
/dports/math/randlib/source/randlib.c/test/
H A Drandlib.h29 extern void setgmn(float *meanv,float *covm,long p,float *parm);
/dports/math/scilab/scilab-6.1.1/scilab/modules/randlib/includes/
H A Dgrand.h23 RANDLIB_IMPEXP int C2F(setgmn) (double *meanv, double *covm, int *ldcovm, int *p, double *parm, int…
/dports/devel/llvm-cheri/llvm-project-37c49ff00e3eadce5d8703fdc4497f28458c64a8/openmp/runtime/src/
H A Dkmp_stats.h411 statistic(double minv, double maxv, double meanv, uint64_t sc, double sd) in statistic() argument
412 : minVal(minv), maxVal(maxv), meanVal(meanv), m2(sd * sd * sc), in statistic()
/dports/devel/llvm-devel/llvm-project-f05c95f10fc1d8171071735af8ad3a9e87633120/openmp/runtime/src/
H A Dkmp_stats.h419 statistic(double minv, double maxv, double meanv, uint64_t sc, double sd) in statistic() argument
420 : minVal(minv), maxVal(maxv), meanVal(meanv), m2(sd * sd * sc), in statistic()
/dports/devel/llvm10/llvm-10.0.1.src/projects/openmp/runtime/src/
H A Dkmp_stats.h411 statistic(double minv, double maxv, double meanv, uint64_t sc, double sd) in statistic() argument
412 : minVal(minv), maxVal(maxv), meanVal(meanv), m2(sd * sd * sc), in statistic()
/dports/devel/llvm12/llvm-project-12.0.1.src/openmp/runtime/src/
H A Dkmp_stats.h412 statistic(double minv, double maxv, double meanv, uint64_t sc, double sd) in statistic() argument
413 : minVal(minv), maxVal(maxv), meanVal(meanv), m2(sd * sd * sc), in statistic()
/dports/devel/llvm11/llvm-11.0.1.src/projects/openmp/runtime/src/
H A Dkmp_stats.h411 statistic(double minv, double maxv, double meanv, uint64_t sc, double sd) in statistic() argument
412 : minVal(minv), maxVal(maxv), meanVal(meanv), m2(sd * sd * sc), in statistic()

12