Home
last modified time | relevance | path

Searched refs:min_delay (Results 1 – 25 of 777) sorted by relevance

12345678910>>...32

/dports/www/chromium-legacy/chromium-88.0.4324.182/components/domain_reliability/
H A Dscheduler_unittest.cc148 ASSERT_TRUE(CheckPendingUpload(min_delay(), max_delay())); in TEST_F()
149 time_.Advance(min_delay()); in TEST_F()
155 time_.Advance(min_delay()); in TEST_F()
167 time_.Advance(min_delay()); in TEST_F()
183 time_.Advance(min_delay()); in TEST_F()
200 time_.Advance(min_delay()); in TEST_F()
220 max_delay() - min_delay() - retry_interval())); in TEST_F()
233 time_.Advance(min_delay()); in TEST_F()
256 time_.Advance(min_delay()); in TEST_F()
269 time_.Advance(min_delay()); in TEST_F()
[all …]
H A Ddispatcher.cc20 base::TimeDelta min_delay,
28 base::TimeDelta min_delay; member
36 base::TimeDelta min_delay, in Task() argument
40 min_delay(min_delay), in Task()
57 base::TimeDelta min_delay, in ScheduleTask() argument
61 DCHECK(min_delay <= max_delay); in ScheduleTask()
64 std::move(closure), time_->CreateTimer(), min_delay, max_delay); in ScheduleTask()
69 else if (min_delay.InMicroseconds() < 0) in ScheduleTask()
106 FROM_HERE, task->min_delay, in MakeTaskWaiting()
118 FROM_HERE, task->max_delay - task->min_delay, in MakeTaskEligible()
/dports/www/qt5-webengine/qtwebengine-everywhere-src-5.15.2/src/3rdparty/chromium/components/domain_reliability/
H A Dscheduler_unittest.cc148 ASSERT_TRUE(CheckPendingUpload(min_delay(), max_delay())); in TEST_F()
149 time_.Advance(min_delay()); in TEST_F()
155 time_.Advance(min_delay()); in TEST_F()
167 time_.Advance(min_delay()); in TEST_F()
183 time_.Advance(min_delay()); in TEST_F()
200 time_.Advance(min_delay()); in TEST_F()
220 max_delay() - min_delay() - retry_interval())); in TEST_F()
233 time_.Advance(min_delay()); in TEST_F()
256 time_.Advance(min_delay()); in TEST_F()
269 time_.Advance(min_delay()); in TEST_F()
[all …]
H A Ddispatcher.cc20 base::TimeDelta min_delay,
28 base::TimeDelta min_delay; member
36 base::TimeDelta min_delay, in Task() argument
40 min_delay(min_delay), in Task()
57 base::TimeDelta min_delay, in ScheduleTask() argument
61 DCHECK(min_delay <= max_delay); in ScheduleTask()
64 std::move(closure), time_->CreateTimer(), min_delay, max_delay); in ScheduleTask()
69 else if (min_delay.InMicroseconds() < 0) in ScheduleTask()
106 FROM_HERE, task->min_delay, in MakeTaskWaiting()
118 FROM_HERE, task->max_delay - task->min_delay, in MakeTaskEligible()
/dports/science/nest/nest-simulator-3.1/testsuite/regressiontests/
H A Dissue-105.sli41 % Test min_delay on fresh connection
61 GetKernelStatus /min_delay get
66 % Test min_delay on SetStatus
92 GetKernelStatus /min_delay get
163 /min_delay 0.81 def % rounded to 0.8
166 << /min_delay min_delay /max_delay max_delay >> SetKernelStatus
170 n dup /one_to_one << /delay min_delay >> Connect
173 GetKernelStatus /min_delay get 0.8 eq
181 /min_delay 0.89 def % rounded to 0.8
184 << /min_delay min_delay /max_delay max_delay >> SetKernelStatus
[all …]
/dports/www/chromium-legacy/chromium-88.0.4324.182/chrome/browser/sync/test/integration/
H A Dretry_verifier.cc24 delay_info.min_delay = backoff_s + (-1 * current_delay/ in CalculateDelay()
28 delay_info.min_delay = in CalculateDelay()
30 std::min(delay_info.min_delay, syncer::kMaxBackoffSeconds)); in CalculateDelay()
45 delay_table[0].min_delay = static_cast<int64_t>(2); in FillDelayTable()
49 delay_table[i].min_delay = CalculateDelay(delay_table[i-1].min_delay). in FillDelayTable()
50 min_delay; in FillDelayTable()
69 << " Retry table min: " << delay_table[retry_count].min_delay in IsRetryOnTime()
71 return ((time_elapsed.InSeconds() >= delay_table[retry_count].min_delay)); in IsRetryOnTime()
/dports/games/stendhal/stendhal-1.35/src/games/stendhal/server/entity/npc/action/
H A DSetQuestToFutureRandomTimeStampAction.java39 private final int min_delay; field in SetQuestToFutureRandomTimeStampAction
49 …public SetQuestToFutureRandomTimeStampAction(final String questname, final int min_delay, final in… in SetQuestToFutureRandomTimeStampAction() argument
52 this.min_delay = min_delay; in SetQuestToFutureRandomTimeStampAction()
68 this.min_delay = minDelay; in SetQuestToFutureRandomTimeStampAction()
75 …System.currentTimeMillis() + ( Rand.randUniform(min_delay, max_delay) * MathHelper.MILLISECONDS_IN… in fire()
90 return 5507 * (questname.hashCode() + 5519 * index) + min_delay * max_delay; in hashCode()
100 && (min_delay == other.min_delay) in equals()
/dports/security/tor-devel/tor-0.4.7.2-alpha/src/feature/dirclient/
H A Ddlstatus.c155 int min_delay, in download_status_schedule_get_delay() argument
160 tor_assert(min_delay >= 0); in download_status_schedule_get_delay()
179 delay = next_random_exponential_delay(delay, min_delay); in download_status_schedule_get_delay()
185 delay = min_delay; in download_status_schedule_get_delay()
189 if (min_delay >= 0 && delay < min_delay) delay = min_delay; in download_status_schedule_get_delay()
256 int min_delay = 0; in download_status_increment_failure() local
281 min_delay = find_dl_min_delay(dls, get_options()); in download_status_increment_failure()
282 increment = download_status_schedule_get_delay(dls, min_delay, now); in download_status_increment_failure()
313 int min_delay = 0; in download_status_increment_attempt() local
333 min_delay = find_dl_min_delay(dls, get_options()); in download_status_increment_attempt()
[all …]
/dports/security/tor/tor-0.4.6.8/src/feature/dirclient/
H A Ddlstatus.c155 int min_delay, in download_status_schedule_get_delay() argument
160 tor_assert(min_delay >= 0); in download_status_schedule_get_delay()
179 delay = next_random_exponential_delay(delay, min_delay); in download_status_schedule_get_delay()
185 delay = min_delay; in download_status_schedule_get_delay()
189 if (min_delay >= 0 && delay < min_delay) delay = min_delay; in download_status_schedule_get_delay()
256 int min_delay = 0; in download_status_increment_failure() local
281 min_delay = find_dl_min_delay(dls, get_options()); in download_status_increment_failure()
282 increment = download_status_schedule_get_delay(dls, min_delay, now); in download_status_increment_failure()
313 int min_delay = 0; in download_status_increment_attempt() local
333 min_delay = find_dl_min_delay(dls, get_options()); in download_status_increment_attempt()
[all …]
/dports/devel/hpx/hpx-1.2.1/tests/performance/local/
H A Dprint_heterogeneous_payloads.cpp35 std::uint64_t min_delay = 0; variable
71 if (min_delay > max_delay) in app_main()
75 if (min_delay > total_delay) in app_main()
83 if ((min_delay * tasks) > total_delay) in app_main()
116 = (negative || (low_calc < min_delay)) ? min_delay : low_calc; in app_main()
119 = (total_delay - current_sum) - (min_delay * (tasks - 1 - i)); in app_main()
130 if (payload < min_delay) in app_main()
187 , value<std::uint64_t>(&min_delay)->default_value(0) in main()
H A Dhpx_heterogeneous_timed_task_spawn.cpp46 std::uint64_t min_delay = 0; variable
69 = hpx::util::format("{},", min_delay); in print_results()
118 if (min_delay > max_delay) in hpx_main()
122 if (min_delay > total_delay) in hpx_main()
130 if ((min_delay * tasks) > total_delay) in hpx_main()
163 = (negative || (low_calc < min_delay)) ? min_delay : low_calc; in hpx_main()
166 = (total_delay - current_sum) - (min_delay * (tasks - 1 - i)); in hpx_main()
177 if (payload < min_delay) in hpx_main()
250 , value<std::uint64_t>(&min_delay)->default_value(0) in main()
H A Dqthreads_heterogeneous_timed_task_spawn.cpp69 std::uint64_t min_delay = 0; variable
91 = hpx::util::format("{},", min_delay); in print_results()
150 if (min_delay > max_delay) in qthreads_main()
154 if (min_delay > total_delay) in qthreads_main()
162 if ((min_delay * tasks) > total_delay) in qthreads_main()
195 = (negative || (low_calc < min_delay)) ? min_delay : low_calc; in qthreads_main()
198 = (total_delay - current_sum) - (min_delay * (tasks - 1 - i)); in qthreads_main()
209 if (payload < min_delay) in qthreads_main()
296 , value<std::uint64_t>(&min_delay)->default_value(0) in main()
/dports/science/pynn/PyNN-0.10.0/pyNN/neuron/standardmodels/
H A Dsynapses.py31 return state.min_delay
46 return state.min_delay
77 return state.min_delay
94 return state.min_delay
107 return state.min_delay
123 return state.min_delay
140 return state.min_delay
/dports/audio/klystrack/klystrack-1.7.6-make-fix-12-gfe6e746/klystron/src/snd/
H A Dcydchr.c93 void cydchr_set(CydChorus *chr, int rate, int min_delay, int max_delay, int stereo_separation) in cydchr_set() argument
104 if (old == chr->lut_size && min_delay == chr->min_delay && chr->max_delay == max_delay) return; in cydchr_set()
106 chr->min_delay = min_delay; in cydchr_set()
110 …double)i / chr->lut_size * M_PI * 2) * 0.5 + 0.5) * (max_delay - min_delay) + min_delay) * CHORUS_… in cydchr_set()
117 chr->lut[0] = chr->sample_rate * min_delay / 10000; in cydchr_set()
/dports/net/p5-Net-SIP/Net-SIP-0.832/samples/bench/
H A Dcall.pl30 my (@connected,$start_bench,$min_delay,$max_delay);
60 $sum_delay/$ok*1000, $min_delay*1000,$max_delay*1000;
67 $min_delay = $max_delay = undef;
100 $min_delay = $delay if ! defined $min_delay || $min_delay > $delay;
/dports/x11/xpra/xpra-4.3/xpra/server/window/
H A Dbatch_delay_calculator.py62 min_delay = 0
64 min_delay = batch.min_delay
68 min_delay = max(100, min_delay)
72 min_delay = max(40, min_delay)
73 update_batch_delay(batch, factors, min_delay)
76 def update_batch_delay(batch, factors, min_delay=0): argument
87 decay = max(1, logp(current_delay/batch.min_delay)/5.0)
116 batch.delay = int(max(min_delay, min(max_delay, tv // tw)))
285 … ref_delay = max(0, tolerance+N*(batch.start_delay*10 + batch.min_delay*recs) // (recs+10))
286 batch_q = (N * ref_delay) / max(1, batch.min_delay, batch.delay)
/dports/science/pynn/PyNN-0.10.0/pyNN/common/
H A Dcontrol.py34 def setup(timestep=DEFAULT_TIMESTEP, min_delay=DEFAULT_MIN_DELAY, argument
50 if min_delay != 'auto':
51 if max_delay != 'auto' and min_delay > max_delay:
53 if min_delay < timestep:
55 (min_delay, timestep))
142 return simulator.state.min_delay
/dports/net-p2p/libswift/libswift-dc0cbcc/tests/
H A Dledbattest.cpp37 tint min_delay = TINT_NEVER; in TEST() local
117 min_delay = TINT_NEVER; in TEST()
119 if (min_delay_bins[i]<min_delay) in TEST()
120 min_delay = min_delay_bins[i]; in TEST()
124 if (delay < min_delay) in TEST()
125 min_delay = delay; in TEST()
131 tint queueing_delay = current_delay - min_delay; in TEST()
137 cwnd,current_delay,min_delay,seq_off+seq,seq); in TEST()
H A Dledbattest2.cpp50 tint min_delay = TINT_NEVER;
133 min_delay = TINT_NEVER;
135 if (min_delay_bins[i]<min_delay)
136 min_delay = min_delay_bins[i];
140 if (delay < min_delay)
141 min_delay = delay;
147 tint queueing_delay = current_delay - min_delay;
153 cwnd,current_delay,min_delay,seq_off+seq,seq);
/dports/science/pynn/PyNN-0.10.0/pyNN/brian2/
H A Dsimulator.py108 min_delay = np.inf
112 min_delay = min(min_delay, matrix.min())
113 if np.isinf(min_delay):
116 … self._min_delay = min_delay * self.dt # Synapses.delay is an integer, the number of time steps
121 min_delay = property(fget=_get_min_delay, fset=_set_min_delay) variable in State
/dports/science/nest/nest-simulator-3.1/testsuite/unittests/
H A Dtest_iaf_1to2_ex.sli25 Name: testsuite::test_iaf_1to2_ex - sli script for test of min_delay
32 two iaf_psc_alpha model neurons with respect to the min_delay of the
60 The simulation is run with several values of min_delay, which all
84 /d Set /min_delay Set
86 min_delay delay leq assert
95 /min_delay min_delay
135 GetKernelStatus /min_delay get min_delay eq % compare kernel setting
146 Map % supply each min_delay with a copy of the data
163 % min_delay (in ms)
H A Dtest_gap_junction.sli125 % Check that gap-junction connections contribute to the min_delay with wfr_comm_interval
129 % First create one connection to change min_delay, max_delay...
133 GetKernelStatus /min_delay get /old_min Set
142 GetKernelStatus /min_delay get /new_min Set
144 % now check for correct min_delay and max_delay
166 % First create one connection to change min_delay, max_delay...
170 GetKernelStatus /min_delay get /old_min Set
179 GetKernelStatus /min_delay get /new_min Set
181 % now check for correct min_delay and max_delay
/dports/net/py-geopy/geopy-2.2.0/test/extra/
H A Drate_limiter.py68 min_delay = 3.5
71 rl = rate_limiter_cls(mock_func, min_delay_seconds=min_delay)
81 clock_second = clock_first + (min_delay / 3)
82 mock_clock.side_effect = [clock_second, clock_first + min_delay]
84 mock_sleep.assert_called_with(min_delay - (clock_second - clock_first))
88 clock_third = clock_first + min_delay + min_delay * 2
/dports/games/solarus/solarus-f43727b232b3ed13d98440a845e2a29e470e4f0d/src/movements/
H A DPathFindingMovement.cpp86 uint32_t min_delay; in recompute_movement() local
93 min_delay = 3000; in recompute_movement()
97 min_delay = 300; in recompute_movement()
101 next_recomputation_date = System::now() + min_delay + Random::get_number(200); in recompute_movement()
/dports/games/supertux/supertux-0.1.3/src/
H A Dglobals.cpp71 int wait_for_event(SDL_Event& event,unsigned int min_delay, unsigned int max_delay, bool empty_even… in wait_for_event() argument
80 if(max_delay < min_delay) in wait_for_event()
81 max_delay = min_delay; in wait_for_event()
84 mindelay.start(min_delay); in wait_for_event()

12345678910>>...32