Home
last modified time | relevance | path

Searched refs:moduleNames (Results 1 – 25 of 305) sorted by relevance

12345678910>>...13

/dports/lang/purescript/purescript-0.14.5/tests/
H A DTestMake.hs43 let moduleNames = Set.fromList . map P.moduleNameFromString function
49 compile [modulePath] `shouldReturn` moduleNames ["Module"]
50 compile [modulePath] `shouldReturn` moduleNames []
56 compile [modulePath] `shouldReturn` moduleNames ["Module"]
58 compile [modulePath] `shouldReturn` moduleNames ["Module"]
65 compile [modulePath] `shouldReturn` moduleNames ["Module"]
67 compile [modulePath] `shouldReturn` moduleNames []
153 compile batch1 `shouldReturn` moduleNames []
154 compile batch2 `shouldReturn` moduleNames []
173 go optsWithDocs `shouldReturn` moduleNames ["Module"]
[all …]
/dports/net-mgmt/icingaweb2/icingaweb2-2.8.2/modules/setup/library/Setup/Utils/
H A DEnableModuleStep.php15 protected $moduleNames; variable in Icinga\\Module\\Setup\\Utils\\EnableModuleStep
19 public function __construct(array $moduleNames) argument
21 $this->moduleNames = $moduleNames;
35 foreach ($this->moduleNames as $moduleName) {
58 foreach ($this->moduleNames as $moduleName) {
/dports/finance/prestashop/prestashop/install/upgrade/php/
H A Dps_1770_preset_tab_enabled.php40 $moduleNames = [];
42 $moduleNames[] = $inactiveModule['name'];
44 if (count($moduleNames) > 0) {
46 …DATE `'._DB_PREFIX_.'tab` SET `enabled` = 0 WHERE `module` IN (' . implode(',', $moduleNames) . ')'
/dports/www/mod_webkit/w4py-1.2.3/WebKit/
H A DImportManager.py70 moduleNames = []
73 moduleNames.append(modname)
74 if moduleNames:
75 self.recordModules(moduleNames)
125 def recordModules(self, moduleNames=None): argument
127 if moduleNames is None:
128 moduleNames = sys.modules
129 for modname in moduleNames:
/dports/devel/llvm-devel/llvm-project-f05c95f10fc1d8171071735af8ad3a9e87633120/flang/lib/Lower/
H A DMangler.cpp23 static void moduleNames(const Fortran::semantics::Scope &scope, in moduleNames() function
28 moduleNames(scope.parent(), result); in moduleNames()
35 moduleNames(const Fortran::semantics::Symbol &symbol) { in moduleNames() function
38 moduleNames(scope, result); in moduleNames()
92 auto modNames = moduleNames(*interface); in mangleName()
100 return fir::NameUniquer::doVariable(moduleNames(ultimateSymbol), in mangleName()
110 auto modNames = moduleNames(ultimateSymbol); in mangleName()
118 auto modNames = moduleNames(ultimateSymbol); in mangleName()
142 auto modNames = moduleNames(ultimateSymbol); in mangleName()
/dports/devel/py-jsonschema/jsonschema-4.2.1/jsonschema/
H A D_reflect.py131 moduleNames = names[:]
133 if moduleNames:
134 trialname = '.'.join(moduleNames)
138 moduleNames.pop()
/dports/net/google-cloud-sdk/google-cloud-sdk/lib/third_party/jsonschema/
H A D_reflect.py137 moduleNames = names[:]
139 if moduleNames:
140 trialname = '.'.join(moduleNames)
144 moduleNames.pop()
/dports/www/firefox/firefox-99.0/third_party/python/jsonschema/jsonschema/
H A D_reflect.py137 moduleNames = names[:]
139 if moduleNames:
140 trialname = '.'.join(moduleNames)
144 moduleNames.pop()
/dports/mail/thunderbird/thunderbird-91.8.0/third_party/python/jsonschema/jsonschema/
H A D_reflect.py137 moduleNames = names[:]
139 if moduleNames:
140 trialname = '.'.join(moduleNames)
144 moduleNames.pop()
/dports/www/firefox-esr/firefox-91.8.0/third_party/python/jsonschema/jsonschema/
H A D_reflect.py137 moduleNames = names[:]
139 if moduleNames:
140 trialname = '.'.join(moduleNames)
144 moduleNames.pop()
/dports/lang/spidermonkey78/firefox-78.9.0/third_party/python/jsonschema/jsonschema/
H A D_reflect.py137 moduleNames = names[:]
139 if moduleNames:
140 trialname = '.'.join(moduleNames)
144 moduleNames.pop()
/dports/devel/py-poetry-core/poetry-core-1.0.7/poetry/core/_vendor/jsonschema/
H A D_reflect.py137 moduleNames = names[:]
139 if moduleNames:
140 trialname = '.'.join(moduleNames)
144 moduleNames.pop()
/dports/devel/py-jsonschema3/jsonschema-3.2.0/jsonschema/
H A D_reflect.py137 moduleNames = names[:]
139 if moduleNames:
140 trialname = '.'.join(moduleNames)
144 moduleNames.pop()
/dports/www/chromium-legacy/chromium-88.0.4324.182/third_party/llvm/flang/lib/Lower/
H A DMangler.cpp22 static void moduleNames(const Fortran::semantics::Scope &scope, in moduleNames() function
27 moduleNames(scope.parent(), result); in moduleNames()
34 moduleNames(const Fortran::semantics::Symbol &symbol) { in moduleNames() function
37 moduleNames(scope, result); in moduleNames()
89 auto modNames = moduleNames(*interface); in mangleName()
97 return uniquer.doVariable(moduleNames(ultimateSymbol), in mangleName()
105 auto modNames = moduleNames(ultimateSymbol); in mangleName()
/dports/devel/llvm-cheri/llvm-project-37c49ff00e3eadce5d8703fdc4497f28458c64a8/flang/lib/Lower/
H A DMangler.cpp22 static void moduleNames(const Fortran::semantics::Scope &scope, in moduleNames() function
27 moduleNames(scope.parent(), result); in moduleNames()
34 moduleNames(const Fortran::semantics::Symbol &symbol) { in moduleNames() function
37 moduleNames(scope, result); in moduleNames()
89 auto modNames = moduleNames(*interface); in mangleName()
97 return uniquer.doVariable(moduleNames(ultimateSymbol), in mangleName()
105 auto modNames = moduleNames(ultimateSymbol); in mangleName()
/dports/devel/llvm12/llvm-project-12.0.1.src/flang/lib/Lower/
H A DMangler.cpp22 static void moduleNames(const Fortran::semantics::Scope &scope, in moduleNames() function
27 moduleNames(scope.parent(), result); in moduleNames()
34 moduleNames(const Fortran::semantics::Symbol &symbol) { in moduleNames() function
37 moduleNames(scope, result); in moduleNames()
89 auto modNames = moduleNames(*interface); in mangleName()
97 return uniquer.doVariable(moduleNames(ultimateSymbol), in mangleName()
105 auto modNames = moduleNames(ultimateSymbol); in mangleName()
/dports/devel/wasi-compiler-rt12/llvm-project-12.0.1.src/flang/lib/Lower/
H A DMangler.cpp22 static void moduleNames(const Fortran::semantics::Scope &scope, in moduleNames() function
27 moduleNames(scope.parent(), result); in moduleNames()
34 moduleNames(const Fortran::semantics::Symbol &symbol) { in moduleNames() function
37 moduleNames(scope, result); in moduleNames()
89 auto modNames = moduleNames(*interface); in mangleName()
97 return uniquer.doVariable(moduleNames(ultimateSymbol), in mangleName()
105 auto modNames = moduleNames(ultimateSymbol); in mangleName()
/dports/devel/wasi-libcxx/llvm-project-13.0.1.src/flang/lib/Lower/
H A DMangler.cpp23 static void moduleNames(const Fortran::semantics::Scope &scope, in moduleNames() function
28 moduleNames(scope.parent(), result); in moduleNames()
35 moduleNames(const Fortran::semantics::Symbol &symbol) { in moduleNames() function
38 moduleNames(scope, result); in moduleNames()
92 auto modNames = moduleNames(*interface); in mangleName()
100 return fir::NameUniquer::doVariable(moduleNames(ultimateSymbol), in mangleName()
110 auto modNames = moduleNames(ultimateSymbol); in mangleName()
136 auto modNames = moduleNames(ultimateSymbol); in mangleName()
/dports/devel/wasi-compiler-rt13/llvm-project-13.0.1.src/flang/lib/Lower/
H A DMangler.cpp23 static void moduleNames(const Fortran::semantics::Scope &scope, in moduleNames() function
28 moduleNames(scope.parent(), result); in moduleNames()
35 moduleNames(const Fortran::semantics::Symbol &symbol) { in moduleNames() function
38 moduleNames(scope, result); in moduleNames()
92 auto modNames = moduleNames(*interface); in mangleName()
100 return fir::NameUniquer::doVariable(moduleNames(ultimateSymbol), in mangleName()
110 auto modNames = moduleNames(ultimateSymbol); in mangleName()
136 auto modNames = moduleNames(ultimateSymbol); in mangleName()
/dports/devel/llvm13/llvm-project-13.0.1.src/flang/lib/Lower/
H A DMangler.cpp23 static void moduleNames(const Fortran::semantics::Scope &scope, in moduleNames() function
28 moduleNames(scope.parent(), result); in moduleNames()
35 moduleNames(const Fortran::semantics::Symbol &symbol) { in moduleNames() function
38 moduleNames(scope, result); in moduleNames()
92 auto modNames = moduleNames(*interface); in mangleName()
100 return fir::NameUniquer::doVariable(moduleNames(ultimateSymbol), in mangleName()
110 auto modNames = moduleNames(ultimateSymbol); in mangleName()
136 auto modNames = moduleNames(ultimateSymbol); in mangleName()
/dports/misc/bibletime/bibletime-2.11.2/src/mobile/sessionmanager/
H A Dsessionmanager.cpp50 QStringList moduleNames = conf.sessionValue<QStringList>(windowGroup + "modules"); in loadWindows() local
51 if (moduleNames.count() == 0) in loadWindows()
54 loadWindow(moduleNames, key); in loadWindows()
58 void SessionManager::loadWindow(const QStringList& moduleNames, const QString& key) { in loadWindow() argument
60 const QString moduleName = moduleNames.at(0); // We don't support parallel yet! in loadWindow()
/dports/deskutils/ausweisapp2/AusweisApp2-1.22.2/src/core/controller/
H A DDiagnosisController_win.cpp149 QSet<QString> moduleNames; in getWindowsSmartCardDriverModuleNames() local
160 return moduleNames; in getWindowsSmartCardDriverModuleNames()
186 moduleNames.insert(QString::fromWCharArray(serviceName)); in getWindowsSmartCardDriverModuleNames()
199 return moduleNames; in getWindowsSmartCardDriverModuleNames()
253 const QSet<QString> moduleNames = getWindowsSmartCardDriverModuleNames(); in getPcscInfo() local
254 for (const QString& moduleName : moduleNames) in getPcscInfo()
/dports/lang/purescript/purescript-0.14.5/src/Language/PureScript/
H A DIde.hs166 moduleNames <- filterM (containsExterns oDir) directories
167 pure (P.moduleNameFromString . toS <$> moduleNames)
192 loadModulesAsync moduleNames = do function
193 tr <- loadModules moduleNames
201 loadModulesSync moduleNames = do function
202 tr <- loadModules moduleNames
210 loadModules moduleNames = do function
214 map (\mn -> oDir </> toS (P.runModuleName mn) </> P.externsFileName) moduleNames
/dports/www/mediawiki137/mediawiki-1.37.1/maintenance/
H A DcleanupRemovedModules.php50 $moduleNames = $rl->getModuleNames();
53 $moduleNames ? 'md_module NOT IN (' . $dbw->makeList( $moduleNames ) . ')' : '1=1',
/dports/www/mediawiki135/mediawiki-1.35.5/maintenance/
H A DcleanupRemovedModules.php50 $moduleNames = $rl->getModuleNames();
53 $moduleNames ? 'md_module NOT IN (' . $dbw->makeList( $moduleNames ) . ')' : '1=1',

12345678910>>...13