/dports/lang/gcc10/gcc-10.3.0/gcc/testsuite/gcc.target/arm/acle/ |
H A D | cde-mve-error-3.c | 10 uint32_t accum = 0, n_int = 0, m_int = 0; in test() local 12 accum += __arm_vcx2q (0, n_int, 126); in test() 13 accum += __arm_vcx2q_u8 (0, n_int, 127); in test() 14 accum += __arm_vcx2qa (0, accum, n_int, 127); in test() 15 accum += __arm_vcx3q_u8 (0, n_int, m_int, 14); in test() 16 accum += __arm_vcx3q (0, n_int, m_int, 15); in test() 17 accum += __arm_vcx3qa (0, accum, n_int, m_int, 15); in test() 100 accum += __arm_vcx2q (0, n_int, 126); in test3() 101 accum += __arm_vcx2q_u8 (0, n_int, 127); in test3() 103 accum += __arm_vcx3q_u8 (0, n_int, m, 14); in test3() [all …]
|
/dports/lang/gcc11/gcc-11.2.0/gcc/testsuite/gcc.target/arm/acle/ |
H A D | cde-mve-error-3.c | 10 uint32_t accum = 0, n_int = 0, m_int = 0; in test() local 12 accum += __arm_vcx2q (0, n_int, 126); in test() 13 accum += __arm_vcx2q_u8 (0, n_int, 127); in test() 14 accum += __arm_vcx2qa (0, accum, n_int, 127); in test() 15 accum += __arm_vcx3q_u8 (0, n_int, m_int, 14); in test() 16 accum += __arm_vcx3q (0, n_int, m_int, 15); in test() 17 accum += __arm_vcx3qa (0, accum, n_int, m_int, 15); in test() 100 accum += __arm_vcx2q (0, n_int, 126); in test3() 101 accum += __arm_vcx2q_u8 (0, n_int, 127); in test3() 103 accum += __arm_vcx3q_u8 (0, n_int, m, 14); in test3() [all …]
|
/dports/lang/gcc11-devel/gcc-11-20211009/gcc/testsuite/gcc.target/arm/acle/ |
H A D | cde-mve-error-3.c | 10 uint32_t accum = 0, n_int = 0, m_int = 0; in test() local 12 accum += __arm_vcx2q (0, n_int, 126); in test() 13 accum += __arm_vcx2q_u8 (0, n_int, 127); in test() 14 accum += __arm_vcx2qa (0, accum, n_int, 127); in test() 15 accum += __arm_vcx3q_u8 (0, n_int, m_int, 14); in test() 16 accum += __arm_vcx3q (0, n_int, m_int, 15); in test() 17 accum += __arm_vcx3qa (0, accum, n_int, m_int, 15); in test() 100 accum += __arm_vcx2q (0, n_int, 126); in test3() 101 accum += __arm_vcx2q_u8 (0, n_int, 127); in test3() 103 accum += __arm_vcx3q_u8 (0, n_int, m, 14); in test3() [all …]
|
/dports/devel/avr-gcc/gcc-10.2.0/gcc/testsuite/gcc.target/arm/acle/ |
H A D | cde-mve-error-3.c | 10 uint32_t accum = 0, n_int = 0, m_int = 0; in test() local 12 accum += __arm_vcx2q (0, n_int, 126); in test() 13 accum += __arm_vcx2q_u8 (0, n_int, 127); in test() 14 accum += __arm_vcx2qa (0, accum, n_int, 127); in test() 15 accum += __arm_vcx3q_u8 (0, n_int, m_int, 14); in test() 16 accum += __arm_vcx3q (0, n_int, m_int, 15); in test() 17 accum += __arm_vcx3qa (0, accum, n_int, m_int, 15); in test() 100 accum += __arm_vcx2q (0, n_int, 126); in test3() 101 accum += __arm_vcx2q_u8 (0, n_int, 127); in test3() 103 accum += __arm_vcx3q_u8 (0, n_int, m, 14); in test3() [all …]
|
/dports/misc/cxx_atomics_pic/gcc-11.2.0/gcc/testsuite/gcc.target/arm/acle/ |
H A D | cde-mve-error-3.c | 10 uint32_t accum = 0, n_int = 0, m_int = 0; in test() local 12 accum += __arm_vcx2q (0, n_int, 126); in test() 13 accum += __arm_vcx2q_u8 (0, n_int, 127); in test() 14 accum += __arm_vcx2qa (0, accum, n_int, 127); in test() 15 accum += __arm_vcx3q_u8 (0, n_int, m_int, 14); in test() 16 accum += __arm_vcx3q (0, n_int, m_int, 15); in test() 17 accum += __arm_vcx3qa (0, accum, n_int, m_int, 15); in test() 100 accum += __arm_vcx2q (0, n_int, 126); in test3() 101 accum += __arm_vcx2q_u8 (0, n_int, 127); in test3() 103 accum += __arm_vcx3q_u8 (0, n_int, m, 14); in test3() [all …]
|
/dports/lang/gcc10-devel/gcc-10-20211008/gcc/testsuite/gcc.target/arm/acle/ |
H A D | cde-mve-error-3.c | 10 uint32_t accum = 0, n_int = 0, m_int = 0; in test() local 12 accum += __arm_vcx2q (0, n_int, 126); in test() 13 accum += __arm_vcx2q_u8 (0, n_int, 127); in test() 14 accum += __arm_vcx2qa (0, accum, n_int, 127); in test() 15 accum += __arm_vcx3q_u8 (0, n_int, m_int, 14); in test() 16 accum += __arm_vcx3q (0, n_int, m_int, 15); in test() 17 accum += __arm_vcx3qa (0, accum, n_int, m_int, 15); in test() 100 accum += __arm_vcx2q (0, n_int, 126); in test3() 101 accum += __arm_vcx2q_u8 (0, n_int, 127); in test3() 103 accum += __arm_vcx3q_u8 (0, n_int, m, 14); in test3() [all …]
|
/dports/lang/gcc12-devel/gcc-12-20211205/gcc/testsuite/gcc.target/arm/acle/ |
H A D | cde-mve-error-3.c | 10 uint32_t accum = 0, n_int = 0, m_int = 0; in test() local 12 accum += __arm_vcx2q (0, n_int, 126); in test() 13 accum += __arm_vcx2q_u8 (0, n_int, 127); in test() 14 accum += __arm_vcx2qa (0, accum, n_int, 127); in test() 15 accum += __arm_vcx3q_u8 (0, n_int, m_int, 14); in test() 16 accum += __arm_vcx3q (0, n_int, m_int, 15); in test() 17 accum += __arm_vcx3qa (0, accum, n_int, m_int, 15); in test() 100 accum += __arm_vcx2q (0, n_int, 126); in test3() 101 accum += __arm_vcx2q_u8 (0, n_int, 127); in test3() 103 accum += __arm_vcx3q_u8 (0, n_int, m, 14); in test3() [all …]
|
/dports/science/quantum-espresso/q-e-qe-6.7.0/GWW/simple/ |
H A D | v_product.f90 | 140 do ix=-n_int+1,n_int 141 do iy=-n_int+1,n_int 142 do iz=-n_int+1,n_int 241 do ix=-n_int+1,n_int 242 do iy=-n_int+1,n_int 243 do iz=-n_int+1,n_int 355 do ix=-n_int+1,n_int 356 do iy=-n_int+1,n_int 357 do iz=-n_int+1,n_int 422 do ix=-n_int+1,n_int [all …]
|
/dports/math/gecode/gecode-0916a1a/gecode/minimodel/ |
H A D | int-expr.cpp | 45 int n_int; member in Gecode::LinIntExpr::Node 220 its[n->n_int].x = x; its[n->n_int].a = 1; in post() 283 its[n->n_int].x = x; its[n->n_int].a = 1; in post() 305 its[n->n_int].x = x; its[n->n_int].a = -1; in post() 329 its[n->n_int].x = x; its[n->n_int].a = bts[0].a; in post() 333 its[n->n_int+1].x = y; its[n->n_int+1].a = -1; in post() 348 its[n->n_int].x = x; its[n->n_int].a = 1; in post() 352 its[n->n_int+1].x = y; its[n->n_int+1].a = -1; in post() 467 n->n_int = e0.n->n_int + e1.n->n_int; in LinIntExpr() 476 n->n_int = e.n->n_int; in LinIntExpr() [all …]
|
/dports/math/deal.ii/dealii-803d21ff957e349b3799cd3ef2c840bc78734305/include/deal.II/matrix_free/ |
H A D | cuda_hanging_nodes_internal.h | 628 dofs[offset + i] = copy[offset + 2 * n_int + (n_int - 1 - i)]; in rotate_face() 630 dofs[offset + n_int + i] = in rotate_face() 631 copy[offset + 3 * n_int + (n_int - 1 - i)]; in rotate_face() 633 dofs[offset + 2 * n_int + i] = copy[offset + n_int + i]; in rotate_face() 639 offset += 4 * n_int; in rotate_face() 643 dofs[offset + i * n_int + j] = in rotate_face() 644 copy[offset + j * n_int + (n_int - 1 - i)]; in rotate_face() 697 dofs[offset + n_int + i] = copy[offset + 3 * n_int + i]; in transpose_face() 701 dofs[offset + 3 * n_int + i] = copy[offset + n_int + i]; in transpose_face() 705 offset += 4 * n_int; in transpose_face() [all …]
|
/dports/math/calcoo/calcoo-1.3.18/src/ |
H A D | c_input.c | 86 if (cpu->d->n_int < INPUT_LENGTH) in call_digit() 89 || (cpu->d->n_int != 0) ) in call_digit() 91 cpu->d->int_field[cpu->d->n_int] = in call_digit() 93 cpu->d->n_int++; in call_digit() 98 if (cpu->d->n_int + cpu->d->n_frac < INPUT_LENGTH) in call_digit() 141 if (cpu->d->n_int == 0) in call_dot() 144 cpu->d->n_int++; in call_dot() 168 cpu->d->n_int = 1; in call_exp() 300 cpu->d->n_int = 0; in reset_input() 314 for (i = 0; i < cpu->d->n_int; i++) in input_to_x()
|
H A D | output.c | 108 if (cpu_d->n_int == 0) { in refresh_display() 117 leading = INPUT_LENGTH - (cpu_d->n_int + cpu_d->n_frac); in refresh_display() 123 for (i = 0; i < cpu_d->n_int; i++) in refresh_display() 126 for (i = cpu_d->n_int - 3; i > 0; i-=3) in refresh_display() 129 show_dot(body_d, leading + cpu_d->n_int - 1); in refresh_display() 133 show_frac_digit(body_d, leading + cpu_d->n_int + i, in refresh_display() 136 show_frac_tick(body_d, leading + cpu_d->n_int + i); in refresh_display() 157 for (i = 0; i < cpu_d->n_int; i++) in refresh_char_display()
|
H A D | c_output.c | 139 display->n_int = 1; in a_to_display() 189 display->n_int = 1; in a_to_display() 215 display->n_int = intlog10_x + 1; in a_to_display() 216 for (i = 0 ; i < display->n_int; i++) in a_to_display() 219 display->n_frac = INPUT_LENGTH - display->n_int; in a_to_display() 222 digits_x[display->n_int + i]; in a_to_display() 229 display->n_int = 1; in a_to_display() 277 display->n_int = 1; in a_to_display() 289 display->n_int = 1 + exp_eng_corr; in a_to_display() 290 for (i = 0 ; i < display->n_int ; i++) in a_to_display() [all …]
|
/dports/science/nwchem-data/nwchem-7.0.2-release/src/NWints/ecp/ |
H A D | ecp_locint1.F | 6 & n_ab,n_abc,n_int,temp,ind,Qabc,Qa,Q_int,ibug) argument 49 & n_ab,n_abc,n_abp,n_c,n_int,n_p, local 55 & Qa(n_ab,0:l_max),Q_int(n_prim_a*n_prim_b,n_int), 151 do l = 2,n_int 184 if (debug_arrays) call ecp_matpr (Q_int(1,1),1,n_ab,1,n_int, 185 & 1,n_ab,1,n_int,'ECP radial integrals','E',81,5)
|
/dports/science/nwchem/nwchem-7b21660b82ebd85ef659f6fba7e1e73433b0bd0a/src/NWints/ecp/ |
H A D | ecp_locint1.F | 6 & n_ab,n_abc,n_int,temp,ind,Qabc,Qa,Q_int,ibug) argument 49 & n_ab,n_abc,n_abp,n_c,n_int,n_p, local 55 & Qa(n_ab,0:l_max),Q_int(n_prim_a*n_prim_b,n_int), 151 do l = 2,n_int 184 if (debug_arrays) call ecp_matpr (Q_int(1,1),1,n_ab,1,n_int, 185 & 1,n_ab,1,n_int,'ECP radial integrals','E',81,5)
|
/dports/math/piranha/piranha-0.11/src/ |
H A D | thread_management.hpp | 117 int n_int; in bind_to_proc() local 120 n_int = safe_cast<int>(n); in bind_to_proc() 129 CPU_SET(n_int, &cpuset); in bind_to_proc() 136 int n_int; in bind_to_proc() local 139 n_int = safe_cast<int>(n); in bind_to_proc()
|
/dports/math/py-piranha/piranha-0.11/src/ |
H A D | thread_management.hpp | 117 int n_int; in bind_to_proc() local 120 n_int = safe_cast<int>(n); in bind_to_proc() 129 CPU_SET(n_int, &cpuset); in bind_to_proc() 136 int n_int; in bind_to_proc() local 139 n_int = safe_cast<int>(n); in bind_to_proc()
|
/dports/science/conduit/conduit-0.8.0/src/tests/blueprint/python/ |
H A D | t_python_blueprint_mcarray.py | 28 n_int = Node() 29 blueprint.mcarray.to_interleaved(n,n_int) 30 self.assertTrue(blueprint.mcarray.is_interleaved(n_int))
|
/dports/math/octave/octave-6.4.0/scripts/general/ |
H A D | bincoeff.m | 85 n_int = (n == fix (n)); variable 86 idx = n_int & (n < 0) & ok; 96 idx = (! n_int) & (n < k) & ok; 103 b(n_int) = round (b(n_int)); 105 idx = ! n_int;
|
/dports/math/cocoalib/CoCoALib-0.99712/examples/ |
H A D | ex-convert1.C | 34 int n_int = 0; in program() local 38 if (IsConvertible(n_int, power(2,50))) in program() 39 cout << "2^50 fits into an int: " << n_int << endl; in program()
|
/dports/math/giacxcas/CoCoALib-0.99700/examples/ |
H A D | ex-convert1.C | 34 int n_int = 0; in program() local 38 if (IsConvertible(n_int, power(2,50))) in program() 39 cout << "2^50 fits into an int: " << n_int << endl; in program()
|
/dports/science/siesta/siesta-4.1.5/Util/COOP/ |
H A D | fat.f90 | 29 integer :: ii1, ii2, ind, ind_red, no1, no2, n_int, nnz variable 419 n_int = 0 432 n_int = n_int + 1 433 list_io2(n_int) = ii2 434 list_ind(n_int) = ind 437 if (n_int .ne. nnz) then 438 print *, "n_int, nnz:", n_int, nnz
|
/dports/emulators/mess/mame-mame0226/src/devices/cpu/psx/ |
H A D | dma.cpp | 113 int n_int; in dma_interrupt_update() local 116 n_int = ( m_dicr >> 24 ) & 0x7f; in dma_interrupt_update() 119 if( ( n_mask & 0x80 ) != 0 && ( n_int & n_mask ) != 0 ) in dma_interrupt_update() 121 verboselog( *this, 2, "dma_interrupt_update( %02x, %02x ) interrupt triggered\n", n_int, n_mask ); in dma_interrupt_update() 125 else if( n_int != 0 ) in dma_interrupt_update() 127 …verboselog( *this, 2, "dma_interrupt_update( %02x, %02x ) interrupt not enabled\n", n_int, n_mask … in dma_interrupt_update()
|
/dports/emulators/mame/mame-mame0226/src/devices/cpu/psx/ |
H A D | dma.cpp | 113 int n_int; in dma_interrupt_update() local 116 n_int = ( m_dicr >> 24 ) & 0x7f; in dma_interrupt_update() 119 if( ( n_mask & 0x80 ) != 0 && ( n_int & n_mask ) != 0 ) in dma_interrupt_update() 121 verboselog( *this, 2, "dma_interrupt_update( %02x, %02x ) interrupt triggered\n", n_int, n_mask ); in dma_interrupt_update() 125 else if( n_int != 0 ) in dma_interrupt_update() 127 …verboselog( *this, 2, "dma_interrupt_update( %02x, %02x ) interrupt not enabled\n", n_int, n_mask … in dma_interrupt_update()
|
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/bug15993/ |
H A D | testbench_15993.vhdl | 28 variable n_int : std_logic_vector(n'high + 3 downto 0); variable 34 n_int := (others => '0'); 35 n_int(n'range) := n; 38 digit := unsigned(n_int(d_pos+3 downto d_pos));
|