Home
last modified time | relevance | path

Searched refs:nibble (Results 1 – 25 of 2579) sorted by relevance

12345678910>>...104

/dports/comms/rtl-433/rtl_433-21.12/src/devices/
H A Dlightwave_rf.c25 int nibble = -1; // Default error in lightwave_rf_nibble_from_byte() local
27 case 0xF6: nibble = 0x0; break; in lightwave_rf_nibble_from_byte()
28 case 0xEE: nibble = 0x1; break; in lightwave_rf_nibble_from_byte()
29 case 0xED: nibble = 0x2; break; in lightwave_rf_nibble_from_byte()
30 case 0xEB: nibble = 0x3; break; in lightwave_rf_nibble_from_byte()
31 case 0xDE: nibble = 0x4; break; in lightwave_rf_nibble_from_byte()
32 case 0xDD: nibble = 0x5; break; in lightwave_rf_nibble_from_byte()
33 case 0xDB: nibble = 0x6; break; in lightwave_rf_nibble_from_byte()
34 case 0xBE: nibble = 0x7; break; in lightwave_rf_nibble_from_byte()
45 return nibble; in lightwave_rf_nibble_from_byte()
[all …]
H A Doregon_scientific_v1.c33 int nibble[OSV1_BITS/4]; in oregon_scientific_v1_callback() local
48 nibble[i * 2 ] = byte & 0x0f; in oregon_scientific_v1_callback()
49 nibble[i * 2 + 1] = byte >> 4; in oregon_scientific_v1_callback()
51 cs += nibble[i * 2] + 16 * nibble[i * 2 + 1]; in oregon_scientific_v1_callback()
65 checksum = nibble[6] + (nibble[7] << 4); in oregon_scientific_v1_callback()
70 sid = nibble[0]; in oregon_scientific_v1_callback()
71 channel = ((nibble[1] >> 2) & 0x03) + 1; in oregon_scientific_v1_callback()
73 tempC = nibble[2] * 0.1 + nibble[3] + nibble[4] * 10.; in oregon_scientific_v1_callback()
74 battery = (nibble[5] >> 3) & 0x01; in oregon_scientific_v1_callback()
76 sign = (nibble[5] >> 1) & 0x01; in oregon_scientific_v1_callback()
/dports/games/retroarch/RetroArch-1.9.7/wii/libogc/libdb/
H A Ddebug_supp.c27 *nibble = ch - '0'; in hstr2nibble()
53 s32 i,val,nibble; in vhstr2int() local
82 s32 i,val,nibble; in fhstr2int() local
97 s32 i,nibble,shift; in int2fhstr() local
108 s32 i,nibble,shift; in int2vhstr() local
112 if(nibble) break; in int2vhstr()
142 s32 i,nibble,shift; in thread2fhstr() local
154 s32 i,nibble,shift; in thread2vhstr() local
158 if(nibble) break; in thread2vhstr()
175 s32 i,nibble,val; in fhstr2thread() local
[all …]
/dports/devel/acme/acme-0.97.r323/ACME_Lib/cbm/c64/
H A Dsid.a14 sid_v1_attack_decay = $d405 ; hi-nibble: attack length, low-nibble: decay length
15 sid_v1_sustain_release = $d406 ; hi-nibble: sustain volumne, low-nibble: release length
22 sid_v2_attack_decay = $d40c ; hi-nibble: attack length, low-nibble: decay length
23 sid_v2_sustain_release = $d40d ; hi-nibble: sustain volumne, low-nibble: release length
30 sid_v3_attack_decay = $d413 ; hi-nibble: attack length, low-nibble: decay length
31 sid_v3_sustain_release = $d414 ; hi-nibble: sustain volumne, low-nibble: release length
46 sid_filter_control = $d417 ; hi-nibble: resonance, lo-nibble: filter ext/v3/v2/v1
47 sid_filter_volume = $d418 ; hi-nibble: filter mode (disable v3, high, band, low), lo-nibble: volume
/dports/x11/workrave/workrave-1.10.44/common/src/
H A DWRID.cc155 char nibble = str[i]; in set() local
157 if (nibble >= '0' && nibble <= '9') in set()
159 nibble -= '0'; in set()
161 else if (nibble >= 'a' && nibble <= 'f') in set()
163 nibble -= 'a'; in set()
164 nibble += 10; in set()
166 else if (nibble >= 'A' && nibble <= 'F') in set()
168 nibble -= 'A'; in set()
169 nibble += 10; in set()
173 nibble = 0; in set()
[all …]
/dports/lang/clisp/clisp-df3b9f6fdcff22832898e89a989eb499c0f842ed/modules/clx/new-clx/demos/
H A Dgreynetic.lisp16 (let ((nibble (random 16)))
17 (setf nibble (logior nibble (ash nibble 4))
18 nibble (logior nibble (ash nibble 8))
19 nibble (logior nibble (ash nibble 12))
20 nibble (logior nibble (ash nibble 16)))
22 (let ((bit (if (logbitp j nibble) 1 0)))
/dports/lang/gcc6-aux/gcc-6-20180516/libjava/classpath/gnu/java/awt/font/opentype/
H A DGlyphNamer.java1112 char nibble; in getGlyphName() local
1115 if (nibble > 0x39) in getGlyphName()
1116 nibble += 7; in getGlyphName()
1117 buf.append(nibble); in getGlyphName()
1119 if (nibble > 0x39) in getGlyphName()
1120 nibble += 7; in getGlyphName()
1121 buf.append(nibble); in getGlyphName()
1123 if (nibble > 0x39) in getGlyphName()
1124 nibble += 7; in getGlyphName()
1127 if (nibble > 0x39) in getGlyphName()
[all …]
/dports/lang/gcc48/gcc-4.8.5/libjava/classpath/gnu/java/awt/font/opentype/
H A DGlyphNamer.java1112 char nibble; in getGlyphName() local
1115 if (nibble > 0x39) in getGlyphName()
1116 nibble += 7; in getGlyphName()
1117 buf.append(nibble); in getGlyphName()
1119 if (nibble > 0x39) in getGlyphName()
1120 nibble += 7; in getGlyphName()
1121 buf.append(nibble); in getGlyphName()
1123 if (nibble > 0x39) in getGlyphName()
1124 nibble += 7; in getGlyphName()
1127 if (nibble > 0x39) in getGlyphName()
[all …]
/dports/devel/arm-none-eabi-gcc492/gcc-4.9.2/libjava/classpath/gnu/java/awt/font/opentype/
H A DGlyphNamer.java1112 char nibble; in getGlyphName() local
1115 if (nibble > 0x39) in getGlyphName()
1116 nibble += 7; in getGlyphName()
1117 buf.append(nibble); in getGlyphName()
1119 if (nibble > 0x39) in getGlyphName()
1120 nibble += 7; in getGlyphName()
1121 buf.append(nibble); in getGlyphName()
1123 if (nibble > 0x39) in getGlyphName()
1124 nibble += 7; in getGlyphName()
1127 if (nibble > 0x39) in getGlyphName()
[all …]
/dports/lang/gnat_util/gcc-6-20180516/libjava/classpath/gnu/java/awt/font/opentype/
H A DGlyphNamer.java1112 char nibble; in getGlyphName() local
1115 if (nibble > 0x39) in getGlyphName()
1116 nibble += 7; in getGlyphName()
1117 buf.append(nibble); in getGlyphName()
1119 if (nibble > 0x39) in getGlyphName()
1120 nibble += 7; in getGlyphName()
1121 buf.append(nibble); in getGlyphName()
1123 if (nibble > 0x39) in getGlyphName()
1124 nibble += 7; in getGlyphName()
1127 if (nibble > 0x39) in getGlyphName()
[all …]
/dports/devel/mingw32-gcc/gcc-4.8.1/libjava/classpath/gnu/java/awt/font/opentype/
H A DGlyphNamer.java1112 char nibble; in getGlyphName() local
1115 if (nibble > 0x39) in getGlyphName()
1116 nibble += 7; in getGlyphName()
1117 buf.append(nibble); in getGlyphName()
1119 if (nibble > 0x39) in getGlyphName()
1120 nibble += 7; in getGlyphName()
1121 buf.append(nibble); in getGlyphName()
1123 if (nibble > 0x39) in getGlyphName()
1124 nibble += 7; in getGlyphName()
1127 if (nibble > 0x39) in getGlyphName()
[all …]
/dports/multimedia/ffmpeg/ffmpeg-4.4.1/libavcodec/
H A Dadpcmenc.c41 int nibble; member
224 return nibble; in adpcm_ima_compress_sample()
238 nibble = sign | nibble; in adpcm_ima_alp_compress_sample()
243 return nibble; in adpcm_ima_alp_compress_sample()
280 return nibble; in adpcm_ima_qt_compress_sample()
297 nibble = (nibble + bias) / c->idelta; in adpcm_ms_compress_sample()
298 nibble = av_clip_intp2(nibble, 3) & 0x0F; in adpcm_ms_compress_sample()
300 predictor += ((nibble & 0x08) ? (nibble - 0x10) : nibble) * c->idelta; in adpcm_ms_compress_sample()
309 return nibble; in adpcm_ms_compress_sample()
448 paths[u->path].nibble = nibble;\ in adpcm_compress_trellis()
[all …]
/dports/multimedia/handbrake/ffmpeg-4.4/libavcodec/
H A Dadpcmenc.c41 int nibble; member
224 return nibble; in adpcm_ima_compress_sample()
238 nibble = sign | nibble; in adpcm_ima_alp_compress_sample()
243 return nibble; in adpcm_ima_alp_compress_sample()
280 return nibble; in adpcm_ima_qt_compress_sample()
297 nibble = (nibble + bias) / c->idelta; in adpcm_ms_compress_sample()
298 nibble = av_clip_intp2(nibble, 3) & 0x0F; in adpcm_ms_compress_sample()
300 predictor += ((nibble & 0x08) ? (nibble - 0x10) : nibble) * c->idelta; in adpcm_ms_compress_sample()
309 return nibble; in adpcm_ms_compress_sample()
448 paths[u->path].nibble = nibble;\ in adpcm_compress_trellis()
[all …]
/dports/emulators/qemu-utils/qemu-4.2.1/roms/u-boot/tools/
H A Dgen_ethaddr_crc.c19 uint8_t nibble_to_hex(const char *nibble, bool lo) in nibble_to_hex() argument
21 return (strtol(nibble, NULL, 16) << (lo ? 0 : 4)) & (lo ? 0x0f : 0xf0); in nibble_to_hex()
30 char nibble[2] = { 0x00, '\n' }; /* for strtol */ in process_mac() local
32 nibble[0] = *mac_address++; in process_mac()
33 if (isxdigit(nibble[0])) { in process_mac()
34 if (isupper(nibble[0])) in process_mac()
35 nibble[0] = tolower(nibble[0]); in process_mac()
36 ethaddr[i >> 1] |= nibble_to_hex(nibble, (i % 2) != 0); in process_mac()
/dports/emulators/qemu5/qemu-5.2.0/roms/u-boot/tools/
H A Dgen_ethaddr_crc.c19 uint8_t nibble_to_hex(const char *nibble, bool lo) in nibble_to_hex() argument
21 return (strtol(nibble, NULL, 16) << (lo ? 0 : 4)) & (lo ? 0x0f : 0xf0); in nibble_to_hex()
30 char nibble[2] = { 0x00, '\n' }; /* for strtol */ in process_mac() local
32 nibble[0] = *mac_address++; in process_mac()
33 if (isxdigit(nibble[0])) { in process_mac()
34 if (isupper(nibble[0])) in process_mac()
35 nibble[0] = tolower(nibble[0]); in process_mac()
36 ethaddr[i >> 1] |= nibble_to_hex(nibble, (i % 2) != 0); in process_mac()
/dports/sysutils/u-boot-nanopi-neo2/u-boot-2021.07/tools/
H A Dgen_ethaddr_crc.c19 uint8_t nibble_to_hex(const char *nibble, bool lo) in nibble_to_hex() argument
21 return (strtol(nibble, NULL, 16) << (lo ? 0 : 4)) & (lo ? 0x0f : 0xf0); in nibble_to_hex()
30 char nibble[2] = { 0x00, '\n' }; /* for strtol */ in process_mac() local
32 nibble[0] = *mac_address++; in process_mac()
33 if (isxdigit(nibble[0])) { in process_mac()
34 if (isupper(nibble[0])) in process_mac()
35 nibble[0] = tolower(nibble[0]); in process_mac()
36 ethaddr[i >> 1] |= nibble_to_hex(nibble, (i % 2) != 0); in process_mac()
/dports/sysutils/u-boot-olimex-a20-som-evb/u-boot-2021.07/tools/
H A Dgen_ethaddr_crc.c19 uint8_t nibble_to_hex(const char *nibble, bool lo) in nibble_to_hex() argument
21 return (strtol(nibble, NULL, 16) << (lo ? 0 : 4)) & (lo ? 0x0f : 0xf0); in nibble_to_hex()
30 char nibble[2] = { 0x00, '\n' }; /* for strtol */ in process_mac() local
32 nibble[0] = *mac_address++; in process_mac()
33 if (isxdigit(nibble[0])) { in process_mac()
34 if (isupper(nibble[0])) in process_mac()
35 nibble[0] = tolower(nibble[0]); in process_mac()
36 ethaddr[i >> 1] |= nibble_to_hex(nibble, (i % 2) != 0); in process_mac()
/dports/sysutils/u-boot-olinuxino-lime2/u-boot-2021.07/tools/
H A Dgen_ethaddr_crc.c19 uint8_t nibble_to_hex(const char *nibble, bool lo) in nibble_to_hex() argument
21 return (strtol(nibble, NULL, 16) << (lo ? 0 : 4)) & (lo ? 0x0f : 0xf0); in nibble_to_hex()
30 char nibble[2] = { 0x00, '\n' }; /* for strtol */ in process_mac() local
32 nibble[0] = *mac_address++; in process_mac()
33 if (isxdigit(nibble[0])) { in process_mac()
34 if (isupper(nibble[0])) in process_mac()
35 nibble[0] = tolower(nibble[0]); in process_mac()
36 ethaddr[i >> 1] |= nibble_to_hex(nibble, (i % 2) != 0); in process_mac()
/dports/sysutils/u-boot-olinuxino-lime/u-boot-2021.07/tools/
H A Dgen_ethaddr_crc.c19 uint8_t nibble_to_hex(const char *nibble, bool lo) in nibble_to_hex() argument
21 return (strtol(nibble, NULL, 16) << (lo ? 0 : 4)) & (lo ? 0x0f : 0xf0); in nibble_to_hex()
30 char nibble[2] = { 0x00, '\n' }; /* for strtol */ in process_mac() local
32 nibble[0] = *mac_address++; in process_mac()
33 if (isxdigit(nibble[0])) { in process_mac()
34 if (isupper(nibble[0])) in process_mac()
35 nibble[0] = tolower(nibble[0]); in process_mac()
36 ethaddr[i >> 1] |= nibble_to_hex(nibble, (i % 2) != 0); in process_mac()
/dports/emulators/qemu-guest-agent/qemu-5.0.1/roms/u-boot/tools/
H A Dgen_ethaddr_crc.c19 uint8_t nibble_to_hex(const char *nibble, bool lo) in nibble_to_hex() argument
21 return (strtol(nibble, NULL, 16) << (lo ? 0 : 4)) & (lo ? 0x0f : 0xf0); in nibble_to_hex()
30 char nibble[2] = { 0x00, '\n' }; /* for strtol */ in process_mac() local
32 nibble[0] = *mac_address++; in process_mac()
33 if (isxdigit(nibble[0])) { in process_mac()
34 if (isupper(nibble[0])) in process_mac()
35 nibble[0] = tolower(nibble[0]); in process_mac()
36 ethaddr[i >> 1] |= nibble_to_hex(nibble, (i % 2) != 0); in process_mac()
/dports/sysutils/u-boot-cubieboard/u-boot-2021.07/tools/
H A Dgen_ethaddr_crc.c19 uint8_t nibble_to_hex(const char *nibble, bool lo) in nibble_to_hex() argument
21 return (strtol(nibble, NULL, 16) << (lo ? 0 : 4)) & (lo ? 0x0f : 0xf0); in nibble_to_hex()
30 char nibble[2] = { 0x00, '\n' }; /* for strtol */ in process_mac() local
32 nibble[0] = *mac_address++; in process_mac()
33 if (isxdigit(nibble[0])) { in process_mac()
34 if (isupper(nibble[0])) in process_mac()
35 nibble[0] = tolower(nibble[0]); in process_mac()
36 ethaddr[i >> 1] |= nibble_to_hex(nibble, (i % 2) != 0); in process_mac()
/dports/sysutils/u-boot-cubieboard2/u-boot-2021.07/tools/
H A Dgen_ethaddr_crc.c19 uint8_t nibble_to_hex(const char *nibble, bool lo) in nibble_to_hex() argument
21 return (strtol(nibble, NULL, 16) << (lo ? 0 : 4)) & (lo ? 0x0f : 0xf0); in nibble_to_hex()
30 char nibble[2] = { 0x00, '\n' }; /* for strtol */ in process_mac() local
32 nibble[0] = *mac_address++; in process_mac()
33 if (isxdigit(nibble[0])) { in process_mac()
34 if (isupper(nibble[0])) in process_mac()
35 nibble[0] = tolower(nibble[0]); in process_mac()
36 ethaddr[i >> 1] |= nibble_to_hex(nibble, (i % 2) != 0); in process_mac()
/dports/sysutils/u-boot-cubox-hummingboard/u-boot-2021.07/tools/
H A Dgen_ethaddr_crc.c19 uint8_t nibble_to_hex(const char *nibble, bool lo) in nibble_to_hex() argument
21 return (strtol(nibble, NULL, 16) << (lo ? 0 : 4)) & (lo ? 0x0f : 0xf0); in nibble_to_hex()
30 char nibble[2] = { 0x00, '\n' }; /* for strtol */ in process_mac() local
32 nibble[0] = *mac_address++; in process_mac()
33 if (isxdigit(nibble[0])) { in process_mac()
34 if (isupper(nibble[0])) in process_mac()
35 nibble[0] = tolower(nibble[0]); in process_mac()
36 ethaddr[i >> 1] |= nibble_to_hex(nibble, (i % 2) != 0); in process_mac()
/dports/sysutils/u-boot-firefly-rk3399/u-boot-2021.07/tools/
H A Dgen_ethaddr_crc.c19 uint8_t nibble_to_hex(const char *nibble, bool lo) in nibble_to_hex() argument
21 return (strtol(nibble, NULL, 16) << (lo ? 0 : 4)) & (lo ? 0x0f : 0xf0); in nibble_to_hex()
30 char nibble[2] = { 0x00, '\n' }; /* for strtol */ in process_mac() local
32 nibble[0] = *mac_address++; in process_mac()
33 if (isxdigit(nibble[0])) { in process_mac()
34 if (isupper(nibble[0])) in process_mac()
35 nibble[0] = tolower(nibble[0]); in process_mac()
36 ethaddr[i >> 1] |= nibble_to_hex(nibble, (i % 2) != 0); in process_mac()
/dports/sysutils/u-boot-sopine/u-boot-2021.07/tools/
H A Dgen_ethaddr_crc.c19 uint8_t nibble_to_hex(const char *nibble, bool lo) in nibble_to_hex() argument
21 return (strtol(nibble, NULL, 16) << (lo ? 0 : 4)) & (lo ? 0x0f : 0xf0); in nibble_to_hex()
30 char nibble[2] = { 0x00, '\n' }; /* for strtol */ in process_mac() local
32 nibble[0] = *mac_address++; in process_mac()
33 if (isxdigit(nibble[0])) { in process_mac()
34 if (isupper(nibble[0])) in process_mac()
35 nibble[0] = tolower(nibble[0]); in process_mac()
36 ethaddr[i >> 1] |= nibble_to_hex(nibble, (i % 2) != 0); in process_mac()

12345678910>>...104