Home
last modified time | relevance | path

Searched refs:output_ok (Results 1 – 25 of 128) sorted by relevance

123456

/dports/devel/p5-MooseX-Daemonize/MooseX-Daemonize-0.22/t/
H A D02-stdout.t20 $self->output_ok()
24 sub output_ok {
28 $Test->ok( $count++, "$count output_ok" );
/dports/devel/p5-Lingua-Translit/Lingua-Translit-0.28/t/
H A Dxx_tr_template.t.pl8 my $output_ok = ""; # ...its correct transliteration
24 is($output, $output_ok, "$name: transliteration");
H A D11_tr_Common_DEU.t14 my $output_ok = "Alle Menschen sind frei und gleich an Wuerde und " .
37 is($output, $output_ok, "$name: UDOHR transliteration");
42 is($output, $output_ok, "$name: UDOHR transliteration (decoded)");
H A D29_tr_Common_ARA.t8 my $output_ok = "akhbar alywm";
28 is( $output, $output_ok, "$name: transliteration (short)" );
H A D25_tr_GOST_RUS_OLD.t13 my $output_ok = "#1 " .
43 is($output, $output_ok, "$name: UDOHR");
H A D13_tr_Greeklish.t12 my $output_ok = "Epidi ehi usiastiki simasia na prostatefontai ta " .
43 is($o, $output_ok, "$name: UDOHR transliteration");
H A D15_tr_Common_RON.t18 my $output_ok = "Fiecare om se poate prevala de toate drepturile si ".
36 is($o, $output_ok, "$name: UDOHR transliteration");
H A D16_tr_Common_CES.t13 my $output_ok = "Zadny rozdil nebude dale cinen z duvodu politickeho, " .
35 is($output, $output_ok, "$name: UDOHR transliteration");
H A D27_tr_GOST_UKR.t13 my $output_ok = "#1 " .
43 is($output, $output_ok, "$name: UDOHR");
H A D21_tr_Common_SLV.t14 my $output_ok = "ker sta zanikanje in teptanje clovekovih pravic " .
37 is($output, $output_ok, "$name: UDOHR transliteration");
H A D26_tr_GOST_RUS.t13 my $output_ok = "#1 " .
46 is($output, $output_ok, "$name: UDOHR");
H A D14_tr_DIN_31634.t15 my $output_ok = "Epeidē echei usiastikē sēmasia na prostateyontai ta " .
47 is($o, $output_ok, "$name: UDOHR transliteration");
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/issue1133/
H A Dfoo.vhdl7 output_ok : out std_logic_vector(7 downto 0); port
20 output_ok <= null_vector & (7 downto 0 => '0');
/dports/sysutils/cfengine/cfengine-3.19.0/tests/acceptance/00_basics/06_host_specific_data/
H A D02-variables.cf43 "output_ok" expression => strcmp("$(test.result[output])",
47 "ok" and => {"exit_code_ok", "output_ok"};
H A D01-vars.cf43 "output_ok" expression => strcmp("$(test.result[output])",
48 "ok" and => {"exit_code_ok", "output_ok"};
H A D03-variables-value.cf43 "output_ok" expression => strcmp("$(test.result[output])",
48 "ok" and => {"exit_code_ok", "output_ok"};
H A D04-classes.cf43 "output_ok" expression => strcmp("$(test.result[output])",
53 "ok" and => {"exit_code_ok", "output_ok"};
/dports/sysutils/cfengine-devel/core-d08ae9eff/tests/acceptance/00_basics/06_host_specific_data/
H A D01-vars.cf43 "output_ok" expression => strcmp("$(test.result[output])",
48 "ok" and => {"exit_code_ok", "output_ok"};
H A D02-variables.cf43 "output_ok" expression => strcmp("$(test.result[output])",
47 "ok" and => {"exit_code_ok", "output_ok"};
H A D03-variables-value.cf43 "output_ok" expression => strcmp("$(test.result[output])",
48 "ok" and => {"exit_code_ok", "output_ok"};
H A D04-classes.cf43 "output_ok" expression => strcmp("$(test.result[output])",
53 "ok" and => {"exit_code_ok", "output_ok"};
/dports/sysutils/cfengine319/cfengine-3.19.0/tests/acceptance/00_basics/06_host_specific_data/
H A D02-variables.cf43 "output_ok" expression => strcmp("$(test.result[output])",
47 "ok" and => {"exit_code_ok", "output_ok"};
H A D01-vars.cf43 "output_ok" expression => strcmp("$(test.result[output])",
48 "ok" and => {"exit_code_ok", "output_ok"};
H A D03-variables-value.cf43 "output_ok" expression => strcmp("$(test.result[output])",
48 "ok" and => {"exit_code_ok", "output_ok"};
H A D04-classes.cf43 "output_ok" expression => strcmp("$(test.result[output])",
53 "ok" and => {"exit_code_ok", "output_ok"};

123456