Home
last modified time | relevance | path

Searched refs:pattern (Results 1 – 25 of 17520) sorted by relevance

12345678910>>...701

/dports/math/snns/SNNSv4.3/examples/
H A Dletseq_train.pat9 # Input pattern 1:
11 # Output pattern 1:
13 # Input pattern 2:
15 # Output pattern 2:
17 # Input pattern 3:
19 # Output pattern 3:
21 # Input pattern 4:
23 # Output pattern 4:
25 # Input pattern 5:
29 # Input pattern 6:
[all …]
H A Dlaser_1000.pat9 # Input pattern 1:
11 # Output pattern 1:
13 # Input pattern 2:
15 # Output pattern 2:
17 # Input pattern 3:
19 # Output pattern 3:
21 # Input pattern 4:
23 # Output pattern 4:
25 # Input pattern 5:
29 # Input pattern 6:
[all …]
H A Dlaser_999.pat9 # Input pattern 1:
11 # Output pattern 1:
13 # Input pattern 2:
15 # Output pattern 2:
17 # Input pattern 3:
19 # Output pattern 3:
21 # Input pattern 4:
23 # Output pattern 4:
25 # Input pattern 5:
29 # Input pattern 6:
[all …]
H A Dnettalk.pat9 # Input pattern 1:
31 # Output pattern 1:
35 # Input pattern 2:
57 # Output pattern 2:
61 # Input pattern 3:
83 # Output pattern 3:
87 # Input pattern 4:
109 # Output pattern 4:
113 # Input pattern 5:
139 # Input pattern 6:
[all …]
H A Deight_160.pat9 # Input pattern 1:
11 # Output pattern 1:
13 # Input pattern 2:
15 # Output pattern 2:
17 # Input pattern 3:
19 # Output pattern 3:
21 # Input pattern 4:
23 # Output pattern 4:
25 # Input pattern 5:
29 # Input pattern 6:
[all …]
H A Dfont.pat1 SNNS pattern definition file V3.2
9 # Input pattern 1:
68 # Output pattern 1:
77 # Input pattern 2:
136 # Output pattern 2:
145 # Input pattern 3:
204 # Output pattern 3:
213 # Input pattern 4:
281 # Input pattern 5:
349 # Input pattern 6:
[all …]
H A Ddlvq_ziff_100.pat9 # Input pattern 1:
36 # Output pattern 1:
38 # Input pattern 2:
65 # Output pattern 2:
67 # Input pattern 3:
94 # Output pattern 3:
96 # Input pattern 4:
123 # Output pattern 4:
125 # Input pattern 5:
154 # Input pattern 6:
[all …]
H A Dletseq_test.pat9 # Input pattern 1:
11 # Output pattern 1:
13 # Input pattern 2:
15 # Output pattern 2:
17 # Input pattern 3:
19 # Output pattern 3:
21 # Input pattern 4:
23 # Output pattern 4:
25 # Input pattern 5:
29 # Input pattern 6:
[all …]
H A Dbdg_TDNN.pat9 # Input pattern 1:
22 # Output pattern 1:
24 # Input pattern 2:
37 # Output pattern 2:
39 # Input pattern 3:
52 # Output pattern 3:
54 # Input pattern 4:
69 # Input pattern 5:
84 # Input pattern 6:
99 # Input pattern 7:
[all …]
H A Dletters.pat9 # Input pattern 1:
14 # Output pattern 1:
18 # Input pattern 2:
23 # Output pattern 2:
27 # Input pattern 3:
32 # Output pattern 3:
36 # Input pattern 4:
41 # Output pattern 4:
45 # Input pattern 5:
54 # Input pattern 6:
[all …]
H A Dletters_auto.pat9 # Input pattern 1:
14 # Output pattern 1:
19 # Input pattern 2:
24 # Output pattern 2:
29 # Input pattern 3:
34 # Output pattern 3:
39 # Input pattern 4:
44 # Output pattern 4:
49 # Input pattern 5:
59 # Input pattern 6:
[all …]
/dports/devel/qtcreator/qt-creator-opensource-src-5.0.3/src/libs/3rdparty/cplusplus/
H A DASTMatcher.cpp44 (void) pattern; in match()
56 (void) pattern; in match()
69 (void) pattern; in match()
79 (void) pattern; in match()
100 (void) pattern; in match()
123 (void) pattern; in match()
142 (void) pattern; in match()
163 (void) pattern; in match()
184 (void) pattern; in match()
203 (void) pattern; in match()
[all …]
/dports/games/golly/golly-3.3-src/Scripts/Python/glife/
H A Dtext.py29 __eafont[' '] = pattern ("", 0, 0)
31 __eafont['-'] = pattern ("", 0, 0)
37 __mfont[' '] = pattern("")
44 __mfont['\''] = pattern("2bo$2bo$2bo!")
50 __mfont['-'] = pattern("3$5o!")
51 __mfont['.'] = pattern("6$2bo!")
63 __mfont[':'] = pattern("2$2bo4$2bo!")
66 __mfont['='] = pattern("2$5o2$5o!")
100 __mfont['_'] = pattern("6$5o!")
101 __mfont['`'] = pattern("o$bo!")
[all …]
/dports/math/clingo/clingo-5.5.1/app/clingo/tests/python/
H A Dproject.sol15 pattern(1,2) pattern(2,1) pattern(3,2)
16 pattern(1,2) pattern(2,2) pattern(3,1)
17 pattern(1,2) pattern(2,2) pattern(3,2)
18 pattern(1,2) pattern(2,5) pattern(3,2)
19 pattern(1,5) pattern(2,2) pattern(3,2)
20 pattern(1,5) pattern(2,2) pattern(3,5)
23 pattern(1,2) pattern(2,2) pattern(3,1) pattern(4,2)
24 pattern(1,2) pattern(2,2) pattern(3,2) pattern(4,1)
25 pattern(1,2) pattern(2,2) pattern(3,2) pattern(4,2)
26 pattern(1,5) pattern(2,2) pattern(3,2) pattern(4,2)
[all …]
/dports/net-mgmt/observium/observium/tests/
H A DIncludesDefinitionsTest.php23 preg_match($pattern, $string);
49 foreach ($def as $pattern)
79 $test = preg_match($pattern, $string, $matches);
93 $pattern = OBS_PATTERN_IPV4_FULL;
115 $pattern = OBS_PATTERN_IPV4_NET_FULL;
138 $pattern = OBS_PATTERN_IPV6_FULL;
179 $pattern = OBS_PATTERN_IPV6_NET_FULL;
192 $pattern = OBS_PATTERN_IP_FULL;
197 $pattern = OBS_PATTERN_MAC_FULL;
238 $pattern = OBS_PATTERN_FQDN_FULL;
[all …]
/dports/math/SCIP/scip-7.0.3/applications/Ringpacking/src/
H A Dpattern.c48 …SCIP_ALLOC( BMSreallocBlockMemoryArray(pattern->blkmem, &pattern->types, pattern->size, newsize) ); in ensureElemSize()
49 … SCIP_ALLOC( BMSreallocBlockMemoryArray(pattern->blkmem, &pattern->xs, pattern->size, newsize) ); in ensureElemSize()
50 … SCIP_ALLOC( BMSreallocBlockMemoryArray(pattern->blkmem, &pattern->ys, pattern->size, newsize) ); in ensureElemSize()
113 ++(pattern->nlocks); in SCIPpatternCapture()
133 SCIPfreeBlockMemoryArrayNull(scip, &(*pattern)->ys, (*pattern)->size); in SCIPpatternRelease()
139 *pattern = NULL; in SCIPpatternRelease()
163 SCIP_CALL( SCIPpatternAddElement(*copy, pattern->types[i], pattern->xs[i], pattern->ys[i]) ); in SCIPpatternCopy()
183 SCIP_CALL( ensureElemSize(pattern, pattern->nelems + 1) ); in SCIPpatternAddElement()
184 pattern->types[pattern->nelems] = type; in SCIPpatternAddElement()
185 pattern->xs[pattern->nelems] = x; in SCIPpatternAddElement()
[all …]
/dports/net/rpki-client/rpki-client-portable-7.6/openbsd/src/lib/libc/gen/
H A Dfnmatch.c107 if (pattern[0] != '[' || pattern[1] != ':') { in classmatch()
111 pattern += 2; in classmatch()
155 ++*pattern; in fnmatch_ch()
158 negate = (**pattern == '!') || (**pattern == '^'); in fnmatch_ch()
216 if (!**pattern || (slash && (**pattern == '/'))) in fnmatch_ch()
260 ++*pattern; in fnmatch_ch()
278 ++*pattern; in fnmatch_ch()
308 ++pattern; in fnmatch()
358 for (wild = 0; (*pattern == '*') || (*pattern == '?'); ++pattern) { in fnmatch()
442 (*pattern == '\\') && (pattern[1] == '/')))) in fnmatch()
[all …]
/dports/security/sudo/sudo-1.9.8p2/lib/util/
H A Dfnmatch.c122 if (pattern[0] != '[' || pattern[1] != ':') { in classmatch()
126 pattern += 2; in classmatch()
171 ++*pattern; in fnmatch_ch()
174 negate = ((**pattern == '!') || (**pattern == '^')); in fnmatch_ch()
223 if (((*pattern)[1] == '-') && ((*pattern)[2] != ']')) in fnmatch_ch()
232 if (!**pattern || (slash && (**pattern == '/'))) in fnmatch_ch()
273 else if (escape && (**pattern == '\\') && (*pattern)[1]) { in fnmatch_ch()
274 ++*pattern; in fnmatch_ch()
290 ++*pattern; in fnmatch_ch()
370 for (wild = 0; ((*pattern == '*') || (*pattern == '?')); ++pattern) in sudo_fnmatch()
[all …]
/dports/security/openssh-portable/openssh-8.8p1/openbsd-compat/
H A Dfnmatch.c112 if (pattern[0] != '[' || pattern[1] != ':') { in classmatch()
116 pattern += 2; in classmatch()
160 ++*pattern; in fnmatch_ch()
163 negate = (**pattern == '!') || (**pattern == '^'); in fnmatch_ch()
221 if (!**pattern || (slash && (**pattern == '/'))) in fnmatch_ch()
265 ++*pattern; in fnmatch_ch()
283 ++*pattern; in fnmatch_ch()
313 ++pattern; in fnmatch()
363 for (wild = 0; (*pattern == '*') || (*pattern == '?'); ++pattern) { in fnmatch()
447 (*pattern == '\\') && (pattern[1] == '/')))) in fnmatch()
[all …]
/dports/sysutils/fluxengine/fluxengine-61ff48c/dep/emu/
H A Dfnmatch.c113 if (*pattern != '[' || pattern[1] != ':') { in classmatch()
118 pattern += 2; in classmatch()
163 ++*pattern; in fnmatch_ch()
166 negate = ((**pattern == '!') || (**pattern == '^')); in fnmatch_ch()
196 if (classmatch(*pattern, **string, nocase, pattern) in fnmatch_ch()
207 if (((*pattern)[1] == '-') && ((*pattern)[2] != ']')) in fnmatch_ch()
216 if (!**pattern || (slash && (**pattern == '/'))) in fnmatch_ch()
255 else if (escape && (**pattern == '\\') && (*pattern)[1]) { in fnmatch_ch()
272 ++*pattern; in fnmatch_ch()
356 for (wild = 0; ((*pattern == '*') || (*pattern == '?')); ++pattern) in fnmatch()
[all …]
/dports/www/minio-client/mc-RELEASE.2021-12-10T00-14-28Z/vendor/github.com/minio/pkg/wildcard/
H A Dmatch_test.go31 pattern string
38 pattern: "*",
45 pattern: "",
52 pattern: "",
59 pattern: "s3:*",
386 pattern string
393 pattern: "*",
400 pattern: "",
407 pattern: "",
414 pattern: "s3:*",
[all …]
/dports/devel/apr1/apr-1.7.0/strings/
H A Dapr_fnmatch.c86 ++*pattern; in fnmatch_ch()
89 negate = ((**pattern == '!') || (**pattern == '^')); in fnmatch_ch()
123 if (((*pattern)[1] == '-') && ((*pattern)[2] != ']')) in fnmatch_ch()
126 *pattern += (escape && ((*pattern)[2] == '\\')) ? 3 : 2; in fnmatch_ch()
132 if (!**pattern || (slash && (**pattern == '/'))) in fnmatch_ch()
171 else if (escape && (**pattern == '\\') && (*pattern)[1]) { in fnmatch_ch()
172 ++*pattern; in fnmatch_ch()
188 ++*pattern; in fnmatch_ch()
268 for (wild = 0; ((*pattern == '*') || (*pattern == '?')); ++pattern) in apr_fnmatch()
380 if (*pattern && !(slash && ((*pattern == '/') in apr_fnmatch()
[all …]
/dports/audio/gsequencer/gsequencer-3.10.4/ags/audio/
H A Dags_pattern.c314 pattern->pattern = NULL; in ags_pattern_init()
594 if(pattern->pattern != NULL){ in ags_pattern_finalize()
603 g_free(pattern->pattern[i]); in ags_pattern_finalize()
607 g_free(pattern->pattern); in ags_pattern_finalize()
1326 pattern->pattern = (guint ***) g_realloc(pattern->pattern, in ags_pattern_set_dim()
1340 pattern->pattern[i] = NULL; in ags_pattern_set_dim()
1356 pattern->pattern[i] = (guint **) g_realloc(pattern->pattern[i], in ags_pattern_set_dim()
1386 pattern->pattern[i][j] = (guint *) g_realloc(pattern->pattern[i][j], in ags_pattern_set_dim()
1409 pattern->pattern = (guint ***) g_realloc(pattern->pattern, in ags_pattern_set_dim()
1434 pattern->pattern[i] = (guint **) g_realloc(pattern->pattern[i], in ags_pattern_set_dim()
[all …]
/dports/devel/aws-c-http/aws-c-http-0.6.8/source/
H A Dhpack_huffman_static.c12 { .pattern = 0x1ff8, .num_bits = 13 }, /* ' ' 0 */
13 { .pattern = 0x7fffd8, .num_bits = 23 }, /* ' ' 1 */
14 { .pattern = 0xfffffe2, .num_bits = 28 }, /* ' ' 2 */
15 { .pattern = 0xfffffe3, .num_bits = 28 }, /* ' ' 3 */
44 { .pattern = 0x14, .num_bits = 6 }, /* ' ' 32 */
49 { .pattern = 0x15, .num_bits = 6 }, /* '%' 37 */
50 { .pattern = 0xf8, .num_bits = 8 }, /* '&' 38 */
54 { .pattern = 0xf9, .num_bits = 8 }, /* '*' 42 */
60 { .pattern = 0x0, .num_bits = 5 }, /* '0' 48 */
61 { .pattern = 0x1, .num_bits = 5 }, /* '1' 49 */
[all …]
/dports/devel/aws-c-compression/aws-c-compression-0.2.14/tests/
H A Dtest_huffman_static.c11 {.pattern = 0x32e, .num_bits = 10}, /* ' ' 0 */
12 {.pattern = 0x32f, .num_bits = 10}, /* ' ' 1 */
13 {.pattern = 0x330, .num_bits = 10}, /* ' ' 2 */
14 {.pattern = 0x331, .num_bits = 10}, /* ' ' 3 */
15 {.pattern = 0x332, .num_bits = 10}, /* ' ' 4 */
16 {.pattern = 0x333, .num_bits = 10}, /* ' ' 5 */
17 {.pattern = 0x334, .num_bits = 10}, /* ' ' 6 */
18 {.pattern = 0x335, .num_bits = 10}, /* ' ' 7 */
19 {.pattern = 0x336, .num_bits = 10}, /* ' ' 8 */
20 {.pattern = 0x337, .num_bits = 10}, /* ' ' 9 */
[all …]

12345678910>>...701