Home
last modified time | relevance | path

Searched refs:pattern_addr (Results 1 – 15 of 15) sorted by relevance

/dports/emulators/pcem/pcem_emulator-pcem-faf5d6423060/src/
H A Dvid_et4000w32i.c17 uint32_t pattern_addr,source_addr,dest_addr;
26 uint32_t pattern_addr,source_addr,dest_addr;
121 … case 0x7F80: acl.queued.pattern_addr=(acl.queued.pattern_addr&0xFFFFFF00)|val; break;
122 … case 0x7F81: acl.queued.pattern_addr=(acl.queued.pattern_addr&0xFFFF00FF)|(val<<8); break;
123 … case 0x7F82: acl.queued.pattern_addr=(acl.queued.pattern_addr&0xFF00FFFF)|(val<<16); break;
124 … case 0x7F83: acl.queued.pattern_addr=(acl.queued.pattern_addr&0x00FFFFFF)|(val<<24); break;
200 case 0x7F80: return acl.internal.pattern_addr;
201 case 0x7F81: return acl.internal.pattern_addr>>8;
241 acl.pattern_addr=acl.internal.pattern_addr;
260 acl.pattern_back=acl.pattern_addr;
[all …]
H A Dvid_et4000w32.c69 uint32_t pattern_addr,source_addr,dest_addr,mix_addr; member
80 uint32_t pattern_addr,source_addr,dest_addr,mix_addr; member
392 …case 0x7f80: et4000->acl.queued.pattern_addr = (et4000->acl.queued.pattern_addr & 0xFFFFFF00) | va… in et4000w32p_accel_write_fifo()
393 …case 0x7f81: et4000->acl.queued.pattern_addr = (et4000->acl.queued.pattern_addr & 0xFFFF00FF) | (v… in et4000w32p_accel_write_fifo()
394 …case 0x7f82: et4000->acl.queued.pattern_addr = (et4000->acl.queued.pattern_addr & 0xFF00FFFF) | (v… in et4000w32p_accel_write_fifo()
395 …case 0x7f83: et4000->acl.queued.pattern_addr = (et4000->acl.queued.pattern_addr & 0x00FFFFFF) | (v… in et4000w32p_accel_write_fifo()
699 et4000->acl.pattern_addr= et4000->acl.internal.pattern_addr; in et4000w32_blit_start()
716 et4000->acl.pattern_back = et4000->acl.pattern_addr; in et4000w32_blit_start()
770 et4000->acl.pattern_addr += et4000->acl.internal.pattern_off + 1; in et4000w32_incy()
778 et4000->acl.pattern_addr = et4000->acl.pattern_back; in et4000w32_incy()
[all …]
/dports/comms/conserver-com/conserver-8.2.4/conserver/
H A Daccess.c68 in_addr_t hostaddr, pattern_addr, netmask; in AddrCmp() local
89 pattern_addr = inetaddr.s_addr; in AddrCmp()
91 pattern_addr = inet_addr(p); in AddrCmp()
92 if (pattern_addr == (in_addr_t) (-1)) in AddrCmp()
103 in_addr_t ia = ntohl(pattern_addr); in AddrCmp()
114 if (~netmask & pattern_addr) in AddrCmp()
120 pattern_addr & netmask, pattern_addr, netmask)); in AddrCmp()
121 return (hostaddr & netmask) != (pattern_addr & netmask); in AddrCmp()
/dports/devel/nextpnr/nextpnr-48cd407/tests/ice40/regressions/issue0148/hdl/vga/
H A Dchardata.v49 wire [9:0] pattern_addr = { vram_data[6:0], line[2:0] }; net
52 wire [7:0] cdata = pattern_rom[pattern_addr];
56 cdata <= pattern_rom[pattern_addr];
/dports/emulators/mess/mame-mame0226/src/devices/video/
H A Dv9938.cpp1509 int attrtbl_addr, patterntbl_addr, pattern_addr; in sprite_mode1() local
1556 pattern_addr = patterntbl_addr + pattern * 8 + ((m_cont_reg[1] & 1) ? n/2 : n); in sprite_mode1()
1557 … pattern = (m_vram_space->read_byte(pattern_addr) << 8) | m_vram_space->read_byte(pattern_addr+16); in sprite_mode1()
1566 if (n == 0) pattern = m_vram_space->read_byte(pattern_addr); in sprite_mode1()
1567 … else if ( (n == 1) && (m_cont_reg[1] & 2) ) pattern = m_vram_space->read_byte(pattern_addr + 16); in sprite_mode1()
1630 int attrtbl_addr, patterntbl_addr, pattern_addr, colourtbl_addr; in sprite_mode2() local
1689 pattern_addr = patterntbl_addr + pattern * 8 + n; in sprite_mode2()
1690 pattern = (vram_read(pattern_addr) << 8) | vram_read(pattern_addr + 16); in sprite_mode2()
H A Dhp1ll3.cpp671 uint16_t pattern_addr = get_pattern_addr(pattern_no); in fill() local
679 while (bitblt(pattern_addr, 16, 16, src_p, fill_rect, dst_rect, m_rr)) in fill()
684 } while (bitblt(pattern_addr, 16, 16, src_p, fill_rect, dst_rect, m_rr)); in fill()
/dports/emulators/mame/mame-mame0226/src/devices/video/
H A Dv9938.cpp1509 int attrtbl_addr, patterntbl_addr, pattern_addr; in sprite_mode1() local
1556 pattern_addr = patterntbl_addr + pattern * 8 + ((m_cont_reg[1] & 1) ? n/2 : n); in sprite_mode1()
1557 … pattern = (m_vram_space->read_byte(pattern_addr) << 8) | m_vram_space->read_byte(pattern_addr+16); in sprite_mode1()
1566 if (n == 0) pattern = m_vram_space->read_byte(pattern_addr); in sprite_mode1()
1567 … else if ( (n == 1) && (m_cont_reg[1] & 2) ) pattern = m_vram_space->read_byte(pattern_addr + 16); in sprite_mode1()
1630 int attrtbl_addr, patterntbl_addr, pattern_addr, colourtbl_addr; in sprite_mode2() local
1689 pattern_addr = patterntbl_addr + pattern * 8 + n; in sprite_mode2()
1690 pattern = (vram_read(pattern_addr) << 8) | vram_read(pattern_addr + 16); in sprite_mode2()
H A Dhp1ll3.cpp671 uint16_t pattern_addr = get_pattern_addr(pattern_no); in fill() local
679 while (bitblt(pattern_addr, 16, 16, src_p, fill_rect, dst_rect, m_rr)) in fill()
684 } while (bitblt(pattern_addr, 16, 16, src_p, fill_rect, dst_rect, m_rr)); in fill()
/dports/emulators/aranym/aranym-1.1.0/src/natfeat/
H A Dnfvdi_opengl.cpp476 int32 w, int32 h, memptr pattern_addr, uint32 fgColor, uint32 bgColor, in fillArea() argument
486 pattern[i] = ReadInt16(pattern_addr + i * 2); in fillArea()
1103 memptr index_addr, int moves, memptr pattern_addr, uint32 fgColor, in fillPoly() argument
1108 pattern_addr, fgColor, bgColor, logOp, in fillPoly()
1130 pattern[i] = ReadInt16(pattern_addr + i * 2); in fillPoly()
H A Dnfvdi_opengl.h83 memptr index_addr, int moves, memptr pattern_addr, uint32 fgColor,
H A Dnfvdi.cpp988 int32 h, memptr pattern_addr, uint32 fgColor, uint32 bgColor, in fillArea() argument
996 DUNUSED(pattern_addr); in fillArea()
1208 memptr index_addr, int moves, memptr pattern_addr, uint32 fgColor, in fillPoly() argument
1221 pattern[i] = ReadInt16(pattern_addr + i * 2); in fillPoly()
H A Dnfvdi_soft.h65 memptr index_addr, int moves, memptr pattern_addr, uint32 fgColor,
H A Dnfvdi.h175 memptr index_addr, int moves, memptr pattern_addr, uint32 fgColor,
H A Dnfvdi_soft.cpp538 int32 h, memptr pattern_addr, uint32 fgColor, uint32 bgColor, in fillArea() argument
554 pattern[i] = ReadInt16(pattern_addr + i * 2); in fillArea()
1248 memptr index_addr, int moves, memptr pattern_addr, uint32 fgColor, in fillPoly() argument
1253 pattern_addr, fgColor, bgColor, logOp, in fillPoly()
1267 pattern[i] = ReadInt16(pattern_addr + i * 2); in fillPoly()
/dports/audio/funktrackergold/funkgold/
H A Dfunktracker.c1687 register tslot *pattern_addr = in trekk_slot() local
1692 pattern_ptr = pattern_addr + c_channel; in trekk_slot()