Home
last modified time | relevance | path

Searched refs:port8 (Results 1 – 25 of 604) sorted by relevance

12345678910>>...25

/dports/graphics/p5-GraphViz2-DBI/GraphViz2-DBI-2.52/t/
H A Dtest.t46 … markdown|<port5> 5:\\ published_date|<port6> 6:\\ slug|<port7> 7:\\ title|<port8> 8:\\ username}',
52 …4> 4:\\ created|<port5> 5:\\ email|<port6> 6:\\ id|<port7> 7:\\ password|<port8> 8:\\ plugin|<port…
59 user => [ { attributes => {}, from_port => ':"port8"', to_port => ':"port9"' } ]
/dports/emulators/mednafen/mednafen/Documentation/
H A Dsettings.def8563 md.input.port8
19096 pcfx.input.port8
29461 psx.input.port8
34798 snes_faust.input.port8
66391 ss.input.port8
66430 ss.input.port8.3dpad.a
66475 ss.input.port8.3dpad.b
66484 ss.input.port8.3dpad.c
66580 ss.input.port8.3dpad.x
66589 ss.input.port8.3dpad.y
[all …]
/dports/devel/boost-docs/boost_1_72_0/libs/asio/test/
H A Dserial_port.cpp107 const serial_port& port8 = port1; in test() local
108 const serial_port::lowest_layer_type& lowest_layer2 = port8.lowest_layer(); in test()
/dports/devel/boost-python-libs/boost_1_72_0/libs/asio/test/
H A Dserial_port.cpp107 const serial_port& port8 = port1; in test() local
108 const serial_port::lowest_layer_type& lowest_layer2 = port8.lowest_layer(); in test()
/dports/www/restbed/restbed-4.8/dependency/asio/asio/src/tests/unit/
H A Dserial_port.cpp107 const serial_port& port8 = port1; in test() local
108 const serial_port::lowest_layer_type& lowest_layer2 = port8.lowest_layer(); in test()
/dports/devel/boost-libs/boost_1_72_0/libs/asio/test/
H A Dserial_port.cpp107 const serial_port& port8 = port1; in test() local
108 const serial_port::lowest_layer_type& lowest_layer2 = port8.lowest_layer(); in test()
/dports/net/asio/asio-1.16.0/src/tests/unit/
H A Dserial_port.cpp107 const serial_port& port8 = port1; in test() local
108 const serial_port::lowest_layer_type& lowest_layer2 = port8.lowest_layer(); in test()
/dports/devel/hyperscan/boost_1_75_0/libs/asio/test/
H A Dserial_port.cpp107 const serial_port& port8 = port1; in test() local
108 const serial_port::lowest_layer_type& lowest_layer2 = port8.lowest_layer(); in test()
/dports/cad/ghdl/ghdl-1.0.0/testsuite/vests/vhdl-93/billowitch/compliant/
H A Dtc1787.vhd54 port8 : out Positive ; port
70 port8 <= g8 after 11 ns;
102 port8 : out Positive ; port in c09s06b00x00p04n05i01787ent.c09s06b00x00p04n05i01787arch.MultiType
/dports/net-mgmt/librenms/librenms-21.5.1/tests/snmpsim/
H A Dallied_alliedware.snmprec14 1.3.6.1.2.1.2.2.1.2.8|4|port8
797 1.3.6.1.2.1.31.1.1.1.1.8|4|port8
H A Dtrendnet.snmprec22 1.3.6.1.2.1.2.2.1.2.8|4|port8
H A Dfortigate.snmprec512 1.3.6.1.2.1.31.1.1.1.1.10|4|port8
1368 1.3.6.1.2.1.47.1.1.1.1.7.14|4|port8
/dports/devel/libzookeeper/apache-zookeeper-3.7.0/zookeeper-server/src/test/java/org/apache/zookeeper/server/embedded/
H A DZookeeperServerClusterTest.java65 int port8 = PortAssignment.unique(); in testStart() local
74 config.put("server.2", "localhost:" + port5 + ":" + port8); in testStart()
H A DZookeeperServerClusterMutualAuthTest.java75 int port8 = PortAssignment.unique(); in testStart() local
85 config.put("server.2", "localhost:" + port5 + ":" + port8); in testStart()
/dports/graphics/p5-GraphViz2/GraphViz2-2.66/t/snapshots/gen_record_3_t/
H A Ddot_file3 … e|<port3> f|{<port4> g|<port_h> h:port_h|<port5> i|<port6> j|{<port7> k|<port8> l|<port9> m|<port…
/dports/multimedia/v4l-utils/linux-5.13-rc2/arch/mips/boot/dts/mscc/
H A Docelot.dtsi142 "port7", "port8", "port9", "port10", "qsys",
175 port8: port@8 { label
/dports/multimedia/v4l_compat/linux-5.13-rc2/arch/mips/boot/dts/mscc/
H A Docelot.dtsi142 "port7", "port8", "port9", "port10", "qsys",
175 port8: port@8 { label
/dports/multimedia/libv4l/linux-5.13-rc2/arch/mips/boot/dts/mscc/
H A Docelot.dtsi142 "port7", "port8", "port9", "port10", "qsys",
175 port8: port@8 { label
/dports/www/varnish6/varnish-cache-varnish-6.6.2/bin/varnishtest/tests/
H A Dm00011.vtc57 set resp.http.port8 = std.port(debug.get_ip());
111 expect resp.http.port8 == 8080
/dports/graphics/p5-GraphViz2/GraphViz2-2.66/t/snapshots/gen_parse_yapp_t/
H A Ddot_file7 …\'+\'\\ exp\\l|<port6> exp\\ \'-\'\\ exp\\l|<port7> exp\\ \'*\'\\ exp\\l|<port8> exp\\ \'/\'\\ exp…
/dports/net/wireshark/wireshark-3.6.1/plugins/epan/ethercat/
H A Dpacket-esl.c42 guint16 port8 : 1;
123 else if ( flagsUnion.d.port8 )
/dports/net/wireshark-lite/wireshark-3.6.1/plugins/epan/ethercat/
H A Dpacket-esl.c42 guint16 port8 : 1;
123 else if ( flagsUnion.d.port8 )
/dports/net/tshark/wireshark-3.6.1/plugins/epan/ethercat/
H A Dpacket-esl.c42 guint16 port8 : 1;
123 else if ( flagsUnion.d.port8 )
/dports/net/tshark-lite/wireshark-3.6.1/plugins/epan/ethercat/
H A Dpacket-esl.c42 guint16 port8 : 1;
123 else if ( flagsUnion.d.port8 )
/dports/emulators/mess/mame-mame0226/src/devices/cpu/h8/
H A Dh83008.h44 required_device<h8_port_device> port8; variable

12345678910>>...25