Home
last modified time | relevance | path

Searched refs:range_max (Results 1 – 25 of 1283) sorted by relevance

12345678910>>...52

/dports/security/snort/snort-2.9.18/src/dynamic-preprocessors/appid/util/
H A DNetworkSet.c126 network->range_max = range_max; in NetworkSet_AddNetworkRangeEx()
140 iNetwork->range_max == network->range_max) in NetworkSet_AddNetworkRangeEx()
196 network->range_max = *range_max; in NetworkSet_AddNetworkRange6Ex()
250 range_max.hi = 0; in NetworkSet_AddNetworkRangeOnlyIPv6()
516 … else if (ias->range_max >= i_ias->range_min && ias->range_max <= i_ias->range_max) in NetworkSet_ReduceNetworkSet()
575 ias->range_max = i_ias->range_max; in NetworkSet_ReduceNetworkSet()
593 … else if (ias->range_max >= i_ias->range_min && ias->range_max < i_ias->range_max) in NetworkSet_ReduceNetworkSet()
658 … else if (ias->range_max > i_ias->range_min && ias->range_max < i_ias->range_max) in NetworkSet_ReduceNetworkSet()
702 i_ias->range_max = ias->range_max; in NetworkSet_ReduceNetworkSet()
880 ias->range_max = i_ias->range_max; in NetworkSet_ReduceNetworkSet6()
[all …]
H A Dip_funcs.c76 ias->range_max = ~0; in ParseIpCidr()
105 ias->range_max = ias->range_min; in ParseIpCidr()
113 ias->range_max = ias->range_min; in ParseIpCidr()
161 ias->range_max.lo = ULLONG_MAX; in ParseIpv6Cidr()
162 ias->range_max.hi = ULLONG_MAX; in ParseIpv6Cidr()
184 ias->range_max.hi = ULLONG_MAX; in ParseIpv6Cidr()
185 ias->range_max.lo = ULLONG_MAX; in ParseIpv6Cidr()
193 ias->range_max.lo = ULLONG_MAX; in ParseIpv6Cidr()
201 ias->range_max.lo = ULLONG_MAX; in ParseIpv6Cidr()
215 ias->range_max = ias->range_min; in ParseIpv6Cidr()
[all …]
/dports/databases/mariadb105-client/mariadb-10.5.15/mysql-test/main/
H A Dauto_increment_ranges.inc12 let $range_max=32767;
15 eval insert into t1 values($range_max);
19 eval insert into t1 values($range_max-1);
43 let $range_max=65535;
46 eval insert into t1 values($range_max);
50 eval insert into t1 values($range_max-1);
74 let $range_max=2147483647;
77 eval insert into t1 values($range_max);
105 let $range_max=4294967295;
108 eval insert into t1 values($range_max);
[all …]
/dports/databases/mariadb104-server/mariadb-10.4.24/mysql-test/main/
H A Dauto_increment_ranges.inc12 let $range_max=32767;
15 eval insert into t1 values($range_max);
19 eval insert into t1 values($range_max-1);
43 let $range_max=65535;
46 eval insert into t1 values($range_max);
50 eval insert into t1 values($range_max-1);
74 let $range_max=2147483647;
77 eval insert into t1 values($range_max);
105 let $range_max=4294967295;
108 eval insert into t1 values($range_max);
[all …]
/dports/databases/mariadb105-server/mariadb-10.5.15/mysql-test/main/
H A Dauto_increment_ranges.inc12 let $range_max=32767;
15 eval insert into t1 values($range_max);
19 eval insert into t1 values($range_max-1);
43 let $range_max=65535;
46 eval insert into t1 values($range_max);
50 eval insert into t1 values($range_max-1);
74 let $range_max=2147483647;
77 eval insert into t1 values($range_max);
105 let $range_max=4294967295;
108 eval insert into t1 values($range_max);
[all …]
/dports/databases/mariadb103-server/mariadb-10.3.34/mysql-test/main/
H A Dauto_increment_ranges.inc12 let $range_max=32767;
15 eval insert into t1 values($range_max);
19 eval insert into t1 values($range_max-1);
43 let $range_max=65535;
46 eval insert into t1 values($range_max);
50 eval insert into t1 values($range_max-1);
74 let $range_max=2147483647;
77 eval insert into t1 values($range_max);
105 let $range_max=4294967295;
108 eval insert into t1 values($range_max);
[all …]
/dports/databases/mariadb103-client/mariadb-10.3.34/mysql-test/main/
H A Dauto_increment_ranges.inc12 let $range_max=32767;
15 eval insert into t1 values($range_max);
19 eval insert into t1 values($range_max-1);
43 let $range_max=65535;
46 eval insert into t1 values($range_max);
50 eval insert into t1 values($range_max-1);
74 let $range_max=2147483647;
77 eval insert into t1 values($range_max);
105 let $range_max=4294967295;
108 eval insert into t1 values($range_max);
[all …]
/dports/databases/mariadb104-client/mariadb-10.4.24/mysql-test/main/
H A Dauto_increment_ranges.inc12 let $range_max=32767;
15 eval insert into t1 values($range_max);
19 eval insert into t1 values($range_max-1);
43 let $range_max=65535;
46 eval insert into t1 values($range_max);
50 eval insert into t1 values($range_max-1);
74 let $range_max=2147483647;
77 eval insert into t1 values($range_max);
105 let $range_max=4294967295;
108 eval insert into t1 values($range_max);
[all …]
/dports/multimedia/obs-studio/obs-studio-27.1.3/libobs/media-io/
H A Dvideo-matrices.c31 int const range_max[3]; member
96 int yvals = range_max[0] - range_min[0]; in initialize_matrix()
97 int uvals = (range_max[1] - range_min[1]) / 2; in initialize_matrix()
98 int vvals = (range_max[2] - range_min[2]) / 2; in initialize_matrix()
135 static int range_max[] = {255, 255, 255}; in initialize_matrices() local
139 range_min, range_max, in initialize_matrices()
145 format_info[i].range_max, in initialize_matrices()
153 format_info[i].range_max[j] / 255.; in initialize_matrices()
166 float range_min[3], float range_max[3]) in video_format_get_parameters()
188 if (range_max) in video_format_get_parameters()
[all …]
/dports/graphics/py-toyplot/toyplot-0.19.0/toyplot/
H A Dprojection.py124 range_max, argument
226 range_max,
237 range_max, argument
286 range_max,
302 range_max,
303 range_max,
311 range_max,
312 range_max,
313 range_max + (range_max - range_min),
353 range_max,
[all …]
/dports/deskutils/maliit-framework/framework-2.1.1/common/maliit/
H A Dsettingdata.cpp28 … bool checkValueRange(const QVariant &value, const QVariant &range_min, const QVariant &range_max) in checkValueRange() argument
30 if (!range_min.isValid() && !range_max.isValid()) in checkValueRange()
40 if (range_max.isValid()) { in checkValueRange()
41 if (!range_max.canConvert(QVariant::Int)) in checkValueRange()
43 if (range_max.toInt() < value.toInt()) in checkValueRange()
66 …l checkValueRange(const QVariantList &values, const QVariant &range_min, const QVariant &range_max) in checkValueRange() argument
68 if (!range_min.isValid() && !range_max.isValid()) in checkValueRange()
72 if (!checkValueRange(v, range_min, range_max)) in checkValueRange()
101 QVariant range_max = attributes[Maliit::SettingEntryAttributes::valueRangeMax]; in validateSettingValue() local
117 if (!checkValueRange(value, range_min, range_max)) in validateSettingValue()
[all …]
/dports/deskutils/maliit-framework/framework-2.1.1/maliit-glib/
H A Dmaliitsettingdata.c130 GVariant *range_max) in check_single_value_range() argument
139 if (range_max) { in check_single_value_range()
140 if (!g_variant_is_of_type(range_max, G_VARIANT_TYPE_INT32) || in check_single_value_range()
141 g_variant_get_int32(range_max) < g_variant_get_int32(value)) { in check_single_value_range()
152 GVariant *range_max) in check_variant_value_range() argument
154 if (!range_min && !range_max) { in check_variant_value_range()
158 return check_single_value_range(value, range_min, range_max); in check_variant_value_range()
164 GVariant *range_max) in check_variant_array_range() argument
170 if (!range_min && !range_max) { in check_variant_array_range()
179 correct = check_single_value_range(child, range_min, range_max); in check_variant_array_range()
[all …]
/dports/science/nwchem/nwchem-7b21660b82ebd85ef659f6fba7e1e73433b0bd0a/src/nwdft/grid/
H A Dgrid_rtrunc.F3 & tol_reset, range_max,check_s)
26 double precision range_max
78 range_max=0d0
141 range_max=max(range_max,dble(rad_cutoff(itype,1)))
275 . iat_diff,range_max,
301 range_max=0d0
388 range_max=10d0
406 range_max=0d0
422 range_max = range
474 range_max=10d0
[all …]
/dports/science/nwchem-data/nwchem-7.0.2-release/src/nwdft/grid/
H A Dgrid_rtrunc.F3 & tol_reset, range_max,check_s)
26 double precision range_max
78 range_max=0d0
141 range_max=max(range_max,dble(rad_cutoff(itype,1)))
275 . iat_diff,range_max,
301 range_max=0d0
388 range_max=10d0
406 range_max=0d0
422 range_max = range
474 range_max=10d0
[all …]
/dports/graphics/py-leather/leather-0.3.4/leather/scales/
H A Dordinal.py22 def project(self, value, range_min, range_max): argument
27 range_max = Decimal(range_max)
30 segment_size = (range_max - range_min) / segments
39 def project_interval(self, value, range_min, range_max): argument
45 range_max = Decimal(range_max)
48 segment_size = (range_max - range_min) / segments
/dports/lang/spidermonkey60/firefox-60.9.0/servo/components/script/dom/
H A Dwebglshaderprecisionformat.rs19 range_max: i32, field
24 fn new_inherited(range_min: i32, range_max: i32, precision: i32) -> WebGLShaderPrecisionFormat { in new_inherited()
28 range_max: range_max, in new_inherited()
35 range_max: i32, in new()
38 Box::new(WebGLShaderPrecisionFormat::new_inherited(range_min, range_max, precision)), in new()
52 self.range_max in RangeMax()
/dports/science/pulseview/pulseview-0.4.2/pv/prop/
H A Dint.cpp54 uint64_t range_max = 0; in get_widget() local
80 range_min = 0, range_max = UINT8_MAX; in get_widget()
82 range_min = INT16_MIN, range_max = INT16_MAX; in get_widget()
84 range_min = 0, range_max = UINT16_MAX; in get_widget()
86 range_min = INT32_MIN, range_max = INT32_MAX; in get_widget()
88 range_min = 0, range_max = UINT32_MAX; in get_widget()
90 range_min = INT64_MIN, range_max = INT64_MAX; in get_widget()
92 range_min = 0, range_max = UINT64_MAX; in get_widget()
104 range_max = min(range_max, (uint64_t)INT_MAX); in get_widget()
109 spin_box_->setRange((int)range_min, (int)range_max); in get_widget()
/dports/misc/mxnet/incubator-mxnet-1.9.0/example/rnn/large_word_lm/
H A Dsampler.py26 def __init__(self, range_max, num_sampled): argument
27 self.range_max = range_max
29 self.sampler = log_uniform.LogUniformSampler(range_max)
39 range_max = self.range_max
42 log_range = math.log(range_max + 1)
/dports/misc/py-mxnet/incubator-mxnet-1.9.0/example/rnn/large_word_lm/
H A Dsampler.py26 def __init__(self, range_max, num_sampled): argument
27 self.range_max = range_max
29 self.sampler = log_uniform.LogUniformSampler(range_max)
39 range_max = self.range_max
42 log_range = math.log(range_max + 1)
/dports/www/ilias/ILIAS-5.4.25/Modules/TestQuestionPool/classes/
H A Dclass.assFormulaQuestionVariable.php14 private $range_max; variable in assFormulaQuestionVariable
27 * @param float $range_max Range maximum
33 …public function __construct($variable, $range_min, $range_max, $unit = null, $precision = 0, $intp… argument
37 $this->setRangeMax($range_max);
43 $this->setRangeMaxTxt($range_max);
148 public function setRangeMax($range_max) argument
153 $result = $math->evaluate($range_max);
154 $this->range_max = $result;
159 return (double) $this->range_max;
/dports/www/ilias6/ILIAS-6.14/Modules/TestQuestionPool/classes/
H A Dclass.assFormulaQuestionVariable.php14 private $range_max; variable in assFormulaQuestionVariable
27 * @param float $range_max Range maximum
33 …public function __construct($variable, $range_min, $range_max, $unit = null, $precision = 0, $intp… argument
37 $this->setRangeMax($range_max);
43 $this->setRangeMaxTxt($range_max);
148 public function setRangeMax($range_max) argument
153 $result = $math->evaluate($range_max);
154 $this->range_max = $result;
159 return (double) $this->range_max;
/dports/www/chromium-legacy/chromium-88.0.4324.182/native_client/src/shared/platform/
H A Dnacl_secure_random_common.c38 uint32_t range_max) { in NaClSecureRngDefaultUniform() argument
46 if (0 == (range_max & (range_max - 1))) { in NaClSecureRngDefaultUniform()
47 return (*self->vtbl->GenUint32)(self) & (range_max - 1); in NaClSecureRngDefaultUniform()
58 bias = ((~(uint32_t) 0) % range_max) + 1; in NaClSecureRngDefaultUniform()
107 return v % range_max; in NaClSecureRngDefaultUniform()
/dports/www/qt5-webengine/qtwebengine-everywhere-src-5.15.2/src/3rdparty/chromium/third_party/ffmpeg/libavcodec/
H A Dcbs_av1.c95 if (value < range_min || value > range_max) { in cbs_av1_read_uvlc()
320 av_assert0(range_min <= range_max && range_max - range_min < sizeof(bits) - 1); in cbs_av1_read_increment()
355 av_assert0(range_min <= range_max && range_max - range_min < 32); in cbs_av1_write_increment()
363 if (value == range_max) in cbs_av1_write_increment()
364 len = range_max - range_min; in cbs_av1_write_increment()
401 av_assert0(range_max > 0); in cbs_av1_read_subexp()
402 max_len = av_log2(range_max - 1) - 3; in cbs_av1_read_subexp()
447 if (value > range_max) { in cbs_av1_write_subexp()
450 name, value, range_max); in cbs_av1_write_subexp()
457 av_assert0(range_max > 0); in cbs_av1_write_subexp()
[all …]
/dports/www/chromium-legacy/chromium-88.0.4324.182/third_party/ffmpeg/libavcodec/
H A Dcbs_av1.c95 if (value < range_min || value > range_max) { in cbs_av1_read_uvlc()
316 av_assert0(range_min <= range_max && range_max - range_min < sizeof(bits) - 1); in cbs_av1_read_increment()
351 av_assert0(range_min <= range_max && range_max - range_min < 32); in cbs_av1_write_increment()
359 if (value == range_max) in cbs_av1_write_increment()
360 len = range_max - range_min; in cbs_av1_write_increment()
397 av_assert0(range_max > 0); in cbs_av1_read_subexp()
398 max_len = av_log2(range_max - 1) - 3; in cbs_av1_read_subexp()
443 if (value > range_max) { in cbs_av1_write_subexp()
446 name, value, range_max); in cbs_av1_write_subexp()
453 av_assert0(range_max > 0); in cbs_av1_write_subexp()
[all …]
/dports/databases/gdbm/gdbm-1.22/tests/
H A Dnum2word.c219 size_t range_max; /* Number of elements in RANGE */ variable
250 size_t n = range_max; in range_add()
252 if (range_max == 0) in range_add()
253 range_max = RANGE_INITIAL_ALLOC; in range_add()
256 assert ((size_t)-1 / 3 * 2 / sizeof (range[0]) > range_max); in range_add()
257 range_max += (range_max + 1) / 2; in range_add()
260 range = realloc (range, range_max * sizeof (range[0])); in range_add()
264 for (i = range_max; i > n; i--) in range_add()
284 for (i = 0; i < range_max; i++) in range_get()
290 assert (i < range_max); in range_get()
[all …]

12345678910>>...52