Home
last modified time | relevance | path

Searched refs:s_count (Results 1 – 25 of 482) sorted by relevance

12345678910>>...20

/dports/misc/lastools/LAStools-8ff2694/LASlib/src/
H A Dlaswaveform13reader.cpp46 s_count = 0; in LASwaveform13reader()
331 for (s_count = 1; s_count < nsamples; s_count++) in read_waveform()
341 for (s_count = 1; s_count < nsamples; s_count++) in read_waveform()
349 s_count = 0; in read_waveform()
359 for (s_count = 1; s_count < nsamples; s_count++) in get_samples()
369 for (s_count = 1; s_count < nsamples; s_count++) in get_samples()
375 s_count = 0; in get_samples()
391 s_count++; in has_samples()
403 for (s_count = 1; s_count < nsamples; s_count++) in get_samples_xyz()
413 for (s_count = 1; s_count < nsamples; s_count++) in get_samples_xyz()
[all …]
H A Dlaswaveform13writer.cpp293 U32 s_count; in write_waveform() local
299 for (s_count = 1; s_count < nsamples; s_count++) in write_waveform()
301 ic8->compress(samples[s_count-1], samples[s_count]); in write_waveform()
309 for (s_count = 1; s_count < nsamples; s_count++) in write_waveform()
311 ic16->compress(((U16*)samples)[s_count-1], ((U16*)samples)[s_count]); in write_waveform()
/dports/math/cvc4/CVC4-1.7/test/regress/regress2/
H A Derror0.smt2790s_count 0) (* (- 1) (s_count 1))) 0)) (not (>= (+ (s_count 0) (* (- 1) (s_count 1))) 0)) (and (<= …
801s_count 1) (* (- 1) (s_count 2))) 0)) (not (>= (+ (s_count 1) (* (- 1) (s_count 2))) 0)) (and (<= …
812s_count 2) (* (- 1) (s_count 3))) 0)) (not (>= (+ (s_count 2) (* (- 1) (s_count 3))) 0)) (and (<= …
823s_count 3) (* (- 1) (s_count 4))) 0)) (not (>= (+ (s_count 3) (* (- 1) (s_count 4))) 0)) (and (<= …
834s_count 4) (* (- 1) (s_count 5))) 0)) (not (>= (+ (s_count 4) (* (- 1) (s_count 5))) 0)) (and (<= …
845s_count 5) (* (- 1) (s_count 6))) 0)) (not (>= (+ (s_count 5) (* (- 1) (s_count 6))) 0)) (and (<= …
856s_count 6) (* (- 1) (s_count 7))) 0)) (not (>= (+ (s_count 6) (* (- 1) (s_count 7))) 0)) (and (<= …
867s_count 7) (* (- 1) (s_count 8))) 0)) (not (>= (+ (s_count 7) (* (- 1) (s_count 8))) 0)) (and (<= …
1000s_count 1) (* (- 1) (s_count 3))) 0) (not (>= (+ (s_count 2) (* (- 1) (s_count 3))) 0)) (not (<= (…
1219s_count 2) (* (- 1) (s_count 3))) 0)) (not (<= (+ (s_count 1) (* (- 1) (s_count 2))) 0)) (not (<= …
[all …]
H A Duflia-error0.smt2793s_count 0) (* (- 1) (s_count 1))) 0)) (not (>= (+ (s_count 0) (* (- 1) (s_count 1))) 0)) (and (<= …
804s_count 1) (* (- 1) (s_count 2))) 0)) (not (>= (+ (s_count 1) (* (- 1) (s_count 2))) 0)) (and (<= …
815s_count 2) (* (- 1) (s_count 3))) 0)) (not (>= (+ (s_count 2) (* (- 1) (s_count 3))) 0)) (and (<= …
826s_count 3) (* (- 1) (s_count 4))) 0)) (not (>= (+ (s_count 3) (* (- 1) (s_count 4))) 0)) (and (<= …
837s_count 4) (* (- 1) (s_count 5))) 0)) (not (>= (+ (s_count 4) (* (- 1) (s_count 5))) 0)) (and (<= …
848s_count 5) (* (- 1) (s_count 6))) 0)) (not (>= (+ (s_count 5) (* (- 1) (s_count 6))) 0)) (and (<= …
859s_count 6) (* (- 1) (s_count 7))) 0)) (not (>= (+ (s_count 6) (* (- 1) (s_count 7))) 0)) (and (<= …
870s_count 7) (* (- 1) (s_count 8))) 0)) (not (>= (+ (s_count 7) (* (- 1) (s_count 8))) 0)) (and (<= …
1003s_count 1) (* (- 1) (s_count 3))) 0) (not (>= (+ (s_count 2) (* (- 1) (s_count 3))) 0)) (not (<= (…
1222s_count 2) (* (- 1) (s_count 3))) 0)) (not (<= (+ (s_count 1) (* (- 1) (s_count 2))) 0)) (not (<= …
[all …]
H A Dxs-11-20-5-2-5-3.smt20 :extrafuns ((s_count Int Int))
25 …< arg1 (- (+ (+ arg0 distance) fmt_length) 4))) (= arg1 (+ (+ arg0 (* 4 (s_count (- (- fmt1 2) fmt…
27s_count 0) 1) (= (s_count 0) 0)) (if_then_else (and (= (select_format 1) percent) (= (select_forma…
H A Dxs-09-16-3-4-1-5.decn.smt23 :extrafuns ((s_count Int Int))
28 …< arg1 (- (+ (+ arg0 distance) fmt_length) 4))) (= arg1 (+ (+ arg0 (* 4 (s_count (- (- fmt1 2) fmt…
30s_count 0) 1) (= (s_count 0) 0)) (if_then_else (and (= (select_format 1) percent) (= (select_forma…
H A Dxs-09-16-3-4-1-5.smt20 :extrafuns ((s_count Int Int))
25 …< arg1 (- (+ (+ arg0 distance) fmt_length) 4))) (= arg1 (+ (+ arg0 (* 4 (s_count (- (- fmt1 2) fmt…
27s_count 0) 1) (= (s_count 0) 0)) (if_then_else (and (= (select_format 1) percent) (= (select_forma…
/dports/math/cvc4/CVC4-1.7/test/regress/regress0/decision/
H A Duflia-xs-09-16-3-4-1-5.smt23 :extrafuns ((s_count Int Int))
28 …< arg1 (- (+ (+ arg0 distance) fmt_length) 4))) (= arg1 (+ (+ arg0 (* 4 (s_count (- (- fmt1 2) fmt…
30s_count 0) 1) (= (s_count 0) 0)) (if_then_else (and (= (select_format 1) percent) (= (select_forma…
/dports/audio/libcdaudio/libcdaudio-0.99.12p2/src/
H A Dbase64.c116 int s_count = inlen; in cd_basis_encode64() local
126 if (s_count > (outlen - 1)/4*3) in cd_basis_encode64()
127 s_count = (outlen - 1)/4*3; in cd_basis_encode64()
129 for (index = 0; s_count; inbuffer += 3) { in cd_basis_encode64()
133 (--s_count ? (inbuffer[1] >> 4) : 0)) & 0x3f]; in cd_basis_encode64()
135 s_count ? in cd_basis_encode64()
137 (--s_count ? (inbuffer[2] >> 6) : 0)) & 0x3f] : in cd_basis_encode64()
139 outbuffer[outdex++] = s_count ? basis[inbuffer[2] & 0x3f] : basis[64]; in cd_basis_encode64()
140 if (s_count) s_count--; in cd_basis_encode64()
/dports/devel/boost-docs/boost_1_72_0/libs/python/test/
H A Dproperties.cpp31 { ++s_count; } in X()
34 { ++s_count; } in X()
37 { --s_count; } in ~X()
46 { return s_count; } in get_instance_count()
50 static int s_count; member
53 int X::s_count = 0; member in X
80 make_getter( &X::s_count, return_by_value_t() ), in BOOST_PYTHON_MODULE()
81 make_setter( &X::s_count, return_by_internal_reference_t() ) ) in BOOST_PYTHON_MODULE()
/dports/devel/boost-python-libs/boost_1_72_0/libs/python/test/
H A Dproperties.cpp31 { ++s_count; } in X()
34 { ++s_count; } in X()
37 { --s_count; } in ~X()
46 { return s_count; } in get_instance_count()
50 static int s_count; member
53 int X::s_count = 0; member in X
80 make_getter( &X::s_count, return_by_value_t() ), in BOOST_PYTHON_MODULE()
81 make_setter( &X::s_count, return_by_internal_reference_t() ) ) in BOOST_PYTHON_MODULE()
/dports/databases/percona57-pam-for-mysql/boost_1_59_0/libs/python/test/
H A Dproperties.cpp31 { ++s_count; } in X()
34 { ++s_count; } in X()
37 { --s_count; } in ~X()
46 { return s_count; } in get_instance_count()
50 static int s_count; member
53 int X::s_count = 0; member in X
80 make_getter( &X::s_count, return_by_value_t() ), in BOOST_PYTHON_MODULE()
81 make_setter( &X::s_count, return_by_internal_reference_t() ) ) in BOOST_PYTHON_MODULE()
/dports/databases/mysqlwsrep57-server/boost_1_59_0/libs/python/test/
H A Dproperties.cpp31 { ++s_count; } in X()
34 { ++s_count; } in X()
37 { --s_count; } in ~X()
46 { return s_count; } in get_instance_count()
50 static int s_count; member
53 int X::s_count = 0; member in X
80 make_getter( &X::s_count, return_by_value_t() ), in BOOST_PYTHON_MODULE()
81 make_setter( &X::s_count, return_by_internal_reference_t() ) ) in BOOST_PYTHON_MODULE()
/dports/databases/percona57-server/boost_1_59_0/libs/python/test/
H A Dproperties.cpp31 { ++s_count; } in X()
34 { ++s_count; } in X()
37 { --s_count; } in ~X()
46 { return s_count; } in get_instance_count()
50 static int s_count; member
53 int X::s_count = 0; member in X
80 make_getter( &X::s_count, return_by_value_t() ), in BOOST_PYTHON_MODULE()
81 make_setter( &X::s_count, return_by_internal_reference_t() ) ) in BOOST_PYTHON_MODULE()
/dports/databases/xtrabackup/boost_1_59_0/libs/python/test/
H A Dproperties.cpp31 { ++s_count; } in X()
34 { ++s_count; } in X()
37 { --s_count; } in ~X()
46 { return s_count; } in get_instance_count()
50 static int s_count; member
53 int X::s_count = 0; member in X
80 make_getter( &X::s_count, return_by_value_t() ), in BOOST_PYTHON_MODULE()
81 make_setter( &X::s_count, return_by_internal_reference_t() ) ) in BOOST_PYTHON_MODULE()
/dports/databases/percona57-client/boost_1_59_0/libs/python/test/
H A Dproperties.cpp31 { ++s_count; } in X()
34 { ++s_count; } in X()
37 { --s_count; } in ~X()
46 { return s_count; } in get_instance_count()
50 static int s_count; member
53 int X::s_count = 0; member in X
80 make_getter( &X::s_count, return_by_value_t() ), in BOOST_PYTHON_MODULE()
81 make_setter( &X::s_count, return_by_internal_reference_t() ) ) in BOOST_PYTHON_MODULE()
/dports/devel/boost-libs/boost_1_72_0/libs/python/test/
H A Dproperties.cpp31 { ++s_count; } in X()
34 { ++s_count; } in X()
37 { --s_count; } in ~X()
46 { return s_count; } in get_instance_count()
50 static int s_count; member
53 int X::s_count = 0; member in X
80 make_getter( &X::s_count, return_by_value_t() ), in BOOST_PYTHON_MODULE()
81 make_setter( &X::s_count, return_by_internal_reference_t() ) ) in BOOST_PYTHON_MODULE()
/dports/devel/hyperscan/boost_1_75_0/libs/python/test/
H A Dproperties.cpp31 { ++s_count; } in X()
34 { ++s_count; } in X()
37 { --s_count; } in ~X()
46 { return s_count; } in get_instance_count()
50 static int s_count; member
53 int X::s_count = 0; member in X
80 make_getter( &X::s_count, return_by_value_t() ), in BOOST_PYTHON_MODULE()
81 make_setter( &X::s_count, return_by_internal_reference_t() ) ) in BOOST_PYTHON_MODULE()
/dports/math/cvc4/CVC4-1.7/test/regress/regress0/uflia/
H A Dxs-09-16-3-4-1-5.delta01.smt3 :extrafuns ((s_count Int Int))
10 (let (?n3 (s_count ?n2))
13 (let (?n6 (s_count ?n5))
16 (let (?n9 (s_count ?n8))
19 (let (?n12 (s_count ?n11))
22 (let (?n15 (s_count ?n1))
24 (let (?n17 (s_count ?n14))
28 (let (?n21 (s_count ?n20))
41 (let (?n34 (s_count ?n33))
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/cnt01/
H A Dcnt01.vhdl18 signal s_count : unsigned(9 downto 0); -- := (others => '0'); signal
23 s_count <= (others => '0');
26 s_count <= (others => '0');
28 s_count <= s_count + 1;
34 counter_out <= std_logic_vector(s_count);
H A Dcnt04.vhdl15 signal s_count : unsigned(7 downto 0); -- := (others => '0'); signal
20 s_count <= (others => '0');
22 s_count <= s_count + 1;
27 counter <= std_logic_vector(s_count + 1);
/dports/devel/folly/folly-2021.12.27.00/folly/test/
H A DPolyTest.cpp41 ~Big_t() { --s_count; } in ~Big_t()
51 static std::ptrdiff_t s_count; member
73 EXPECT_EQ(0, Big::s_count); in TEST()
77 EXPECT_EQ(1, Big::s_count); in TEST()
82 EXPECT_EQ(2, Big::s_count); in TEST()
87 EXPECT_EQ(0, Big::s_count); in TEST()
120 EXPECT_EQ(0, Big::s_count); in TEST()
125 EXPECT_EQ(1, Big::s_count); in TEST()
156 EXPECT_EQ(0, Big::s_count); in TEST()
158 EXPECT_EQ(0, Big::s_count); in TEST()
[all …]
/dports/www/qt5-webengine/qtwebengine-everywhere-src-5.15.2/src/3rdparty/chromium/third_party/cld_3/src/src/script_span/
H A Dtext_processing.cc31 int s_count = 0; in CountSpaces4() local
33 s_count += (src[i] == ' '); in CountSpaces4()
34 s_count += (src[i + 1] == ' '); in CountSpaces4()
35 s_count += (src[i + 2] == ' '); in CountSpaces4()
36 s_count += (src[i + 3] == ' '); in CountSpaces4()
38 return s_count; in CountSpaces4()
/dports/www/chromium-legacy/chromium-88.0.4324.182/third_party/cld_3/src/src/script_span/
H A Dtext_processing.cc31 int s_count = 0; in CountSpaces4() local
33 s_count += (src[i] == ' '); in CountSpaces4()
34 s_count += (src[i + 1] == ' '); in CountSpaces4()
35 s_count += (src[i + 2] == ' '); in CountSpaces4()
36 s_count += (src[i + 3] == ' '); in CountSpaces4()
38 return s_count; in CountSpaces4()
/dports/games/pioneer/pioneer-20210723/src/galaxy/
H A DGalaxy.cpp65 const static int s_count = 64; in Init()
66 for( int sx=-s_count; sx<s_count; sx++ ) { in Init()
67 for( int sy=-s_count; sy<s_count; sy++ ) { in Init()
68 for( int sz=-s_count; sz<s_count; sz++ ) { in Init()

12345678910>>...20