Home
last modified time | relevance | path

Searched refs:s_real (Results 1 – 25 of 48) sorted by relevance

12

/dports/science/wannier90/wannier90-3.1.0/src/
H A Dw90spn2spn.F90173 real(kind=dp) :: s_real, s_img local
196 read (spn_unit, *, err=110, end=110) s_real, s_img
197 spn_o(n, m, ik, 1) = cmplx(s_real, s_img, dp)
198 read (spn_unit, *, err=110, end=110) s_real, s_img
199 spn_o(n, m, ik, 2) = cmplx(s_real, s_img, dp)
200 read (spn_unit, *, err=110, end=110) s_real, s_img
201 spn_o(n, m, ik, 3) = cmplx(s_real, s_img, dp)
/dports/devel/llvm-cheri/llvm-project-37c49ff00e3eadce5d8703fdc4497f28458c64a8/flang/test/Semantics/
H A Dresolve17.f9036 procedure s_real
39 subroutine s_real(x) subroutine
60 procedure s_real
63 subroutine s_real(x) subroutine
H A Dseparate-mp02.f90191 subroutine s_real(x)
201 procedure(s_real) :: x
204 procedure(s_real) :: x
/dports/www/chromium-legacy/chromium-88.0.4324.182/third_party/llvm/flang/test/Semantics/
H A Dresolve17.f9036 procedure s_real
39 subroutine s_real(x) subroutine
60 procedure s_real
63 subroutine s_real(x) subroutine
H A Dseparate-mp02.f90191 subroutine s_real(x)
201 procedure(s_real) :: x
204 procedure(s_real) :: x
/dports/devel/f18/f18-1688bef/test/semantics/
H A Dresolve17.f9049 procedure s_real
52 subroutine s_real(x) subroutine
73 procedure s_real
76 subroutine s_real(x) subroutine
/dports/security/john/john-1.9.0-jumbo-1/src/
H A Dbest.c40 char s_real[64], s_virtual[64]; in main() local
81 benchmark_cps(results.crypts, results.real, s_real); in main()
85 s_real, s_virtual); in main()
/dports/lang/smalltalk/smalltalk-3.2.5/libgst/
H A Dreal.c326 struct real s_real; in _gst_real_add_int() local
330 _gst_real_from_int (&s_real, s); in _gst_real_add_int()
332 memcpy (r, &s_real, sizeof (struct real)); in _gst_real_add_int()
333 else if (s_real.sign == r->sign) in _gst_real_add_int()
334 return do_add (r, &s_real); in _gst_real_add_int()
405 struct real s_real; in _gst_real_mul_int() local
412 _gst_real_from_int (&s_real, s); in _gst_real_mul_int()
413 do_mul (r, &s_real, SIGSZ - 1); in _gst_real_mul_int()
/dports/math/lapack++/lapackpp-2.5.4/matrix/src/
H A Dgmc.cc104 LaGenMatComplex::LaGenMatComplex(const LaGenMatDouble& s_real, in LaGenMatComplex() argument
108 init(s_real.size(0), s_real.size(1)); in LaGenMatComplex()
110 copy(s_real, s_imag); in LaGenMatComplex()
184 LaGenMatComplex& LaGenMatComplex::copy(const LaGenMatDouble& s_real, in copy() argument
189 resize(s_real.size(0), s_real.size(1)); in copy()
199 dest(i, j).r = s_real(i, j); in copy()
206 dest(i, j).r = s_real(i, j); in copy()
/dports/multimedia/schroedinger/schroedinger-1.0.11/schroedinger/
H A Dschrofft.c56 schro_fft_fwd_f32 (float *d_real, float *d_imag, const float *s_real, in schro_fft_fwd_f32() argument
72 fft_stage (tmp1_1, tmp1_2, s_real, s_imag, costable, sintable, i, shift); in schro_fft_fwd_f32()
90 schro_fft_rev_f32 (float *d_real, float *d_imag, const float *s_real, in schro_fft_rev_f32() argument
94 schro_fft_fwd_f32 (d_imag, d_real, s_imag, s_real, costable, sintable, shift); in schro_fft_rev_f32()
H A Dschrofft.h13 void schro_fft_fwd_f32 (float *d_real, float *d_imag, const float *s_real,
16 void schro_fft_rev_f32 (float *d_real, float *d_imag, const float *s_real,
/dports/devel/wasi-libcxx/llvm-project-13.0.1.src/flang/test/Semantics/
H A Dresolve17.f9037 procedure s_real
40 subroutine s_real(x) subroutine
61 procedure s_real
64 subroutine s_real(x) subroutine
H A Dseparate-mp02.f90205 subroutine s_real(x)
215 procedure(s_real) :: x
218 procedure(s_real) :: x
/dports/devel/llvm12/llvm-project-12.0.1.src/flang/test/Semantics/
H A Dresolve17.f9036 procedure s_real
39 subroutine s_real(x) subroutine
60 procedure s_real
63 subroutine s_real(x) subroutine
H A Dseparate-mp02.f90191 subroutine s_real(x)
201 procedure(s_real) :: x
204 procedure(s_real) :: x
/dports/devel/llvm-devel/llvm-project-f05c95f10fc1d8171071735af8ad3a9e87633120/flang/test/Semantics/
H A Dresolve17.f9036 procedure s_real
39 subroutine s_real(x) subroutine
60 procedure s_real
63 subroutine s_real(x) subroutine
/dports/devel/wasi-compiler-rt13/llvm-project-13.0.1.src/flang/test/Semantics/
H A Dresolve17.f9037 procedure s_real
40 subroutine s_real(x) subroutine
61 procedure s_real
64 subroutine s_real(x) subroutine
/dports/devel/wasi-compiler-rt12/llvm-project-12.0.1.src/flang/test/Semantics/
H A Dresolve17.f9036 procedure s_real
39 subroutine s_real(x) subroutine
60 procedure s_real
63 subroutine s_real(x) subroutine
H A Dseparate-mp02.f90191 subroutine s_real(x)
201 procedure(s_real) :: x
204 procedure(s_real) :: x
/dports/devel/llvm13/llvm-project-13.0.1.src/flang/test/Semantics/
H A Dresolve17.f9037 procedure s_real
40 subroutine s_real(x) subroutine
61 procedure s_real
64 subroutine s_real(x) subroutine
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue263/
H A Dmac_test.vhdl13 s_real, s_imag : u_sfixed(0 downto -15); signal
26 x_real, x_imag, y_real, y_imag, s_real, s_imag,
29 s <= (s_real, s_imag);
H A Dmac.vhdl11 s_real : out u_sfixed(0 downto -15); --real and imaginary parts of accumulated sum port
75 s_real <= to_sfixed(s_complex.re, s_real);
/dports/math/igraph/igraph-0.9.5/examples/simple/
H A Digraph_lapack_dgeevx.c49 igraph_real_t s_real = 0.0; in real_cplx_mult() local
52 s_real += MATRIX(*A, r, c) * VECTOR(*v_real)[c]; in real_cplx_mult()
55 VECTOR(*res_real)[r] = s_real; in real_cplx_mult()
/dports/cad/ghdl/ghdl-1.0.0/testsuite/vests/vhdl-93/ashenden/compliant/
H A Dch_06_mact-bb.vhd35 s_real, s_imag : std_ulogic_vector(15 downto 0); signal
54 x_real, x_imag, y_real, y_imag, s_real, s_imag,
57 s_real_converter : entity work.to_fp(behavioral) port map (s_real, s.re);
H A Dch_06_mact-br.vhd35 s_real, s_imag : std_ulogic_vector(15 downto 0); signal
54 x_real, x_imag, y_real, y_imag, s_real, s_imag,
57 s_real_converter : entity work.to_fp(behavioral) port map (s_real, s.re);

12