Home
last modified time | relevance | path

Searched refs:snd_hda_enum_bool_helper_info (Results 1 – 9 of 9) sorted by relevance

/dports/multimedia/v4l_compat/linux-5.13-rc2/sound/pci/hda/
H A Dhda_local.h638 #define snd_hda_enum_bool_helper_info(kcontrol, uinfo) \ macro
H A Dpatch_via.c216 return snd_hda_enum_bool_helper_info(kcontrol, uinfo); in via_pin_power_ctl_info()
H A Dhda_generic.c2217 return snd_hda_enum_bool_helper_info(kcontrol, uinfo); in indep_hp_info()
4622 return snd_hda_enum_bool_helper_info(kcontrol, uinfo); in automute_mode_info()
/dports/multimedia/libv4l/linux-5.13-rc2/sound/pci/hda/
H A Dhda_local.h638 #define snd_hda_enum_bool_helper_info(kcontrol, uinfo) \ macro
H A Dpatch_via.c216 return snd_hda_enum_bool_helper_info(kcontrol, uinfo); in via_pin_power_ctl_info()
H A Dhda_generic.c2217 return snd_hda_enum_bool_helper_info(kcontrol, uinfo); in indep_hp_info()
4622 return snd_hda_enum_bool_helper_info(kcontrol, uinfo); in automute_mode_info()
/dports/multimedia/v4l-utils/linux-5.13-rc2/sound/pci/hda/
H A Dhda_local.h638 #define snd_hda_enum_bool_helper_info(kcontrol, uinfo) \ macro
H A Dpatch_via.c216 return snd_hda_enum_bool_helper_info(kcontrol, uinfo); in via_pin_power_ctl_info()
H A Dhda_generic.c2217 return snd_hda_enum_bool_helper_info(kcontrol, uinfo); in indep_hp_info()
4622 return snd_hda_enum_bool_helper_info(kcontrol, uinfo); in automute_mode_info()