Home
last modified time | relevance | path

Searched refs:storeEntry (Results 1 – 25 of 89) sorted by relevance

1234

/dports/www/squid/squid-4.15/src/esi/
H A DContext.cc27 assert (http->storeEntry()); in updateCachedAST()
31 http->storeEntry() << " from ESI Context " << this << in updateCachedAST()
39 http->storeEntry() << " with current value " << in updateCachedAST()
40 http->storeEntry()->cachedESITree.getRaw() << " to new value " << in updateCachedAST()
43 if (http->storeEntry()->cachedESITree.getRaw()) in updateCachedAST()
44 http->storeEntry()->cachedESITree->finish(); in updateCachedAST()
46 http->storeEntry()->cachedESITree = treeToCache; in updateCachedAST()
55 assert (http->storeEntry()); in hasCachedAST()
57 if (http->storeEntry()->cachedESITree.getRaw()) { in hasCachedAST()
59 " - Cached AST present in store entry " << http->storeEntry() << "."); in hasCachedAST()
[all …]
/dports/www/squid/squid-4.15/src/
H A Dclient_side_reply.cc174 http->storeEntry(entry); in setReplyToStoreEntry()
212 http->storeEntry(NULL); in saveState()
341 http->storeEntry(entry); in processExpired()
727 if (http->storeEntry()) { in processMiss()
977 http->storeEntry(entry); in purgeFoundObject()
1657 http->storeEntry(NULL); in forgetHit()
1690 http->storeEntry(e); in identifyFoundObject()
1693 e = http->storeEntry(); in identifyFoundObject()
1893 (http->storeEntry() && EBIT_TEST(http->storeEntry()->flags, ENTRY_ABORTED)) || in errorInStream()
1988 e = http->storeEntry(); in sendNotModified()
[all …]
H A Dclient_side_request.cci14 ClientHttpRequest::storeEntry() const
22 if (storeEntry())
23 return storeEntry()->mem_obj;
H A Dclient_side_request.h58 _SQUID_INLINE_ StoreEntry *storeEntry() const;
59 void storeEntry(StoreEntry *);
H A Dclient_side_request.cc1632 ClientHttpRequest::storeEntry(StoreEntry *newEntry) in storeEntry() function in ClientHttpRequest
2045 storeEntry()->replaceHttpReply(new_rep); in handleAdaptedHeader()
2046 storeEntry()->timestampsSet(); in handleAdaptedHeader()
2049 storeEntry()->complete(); in handleAdaptedHeader()
2086 const size_t spaceAvailable = storeEntry()->bytesWanted(Range<size_t>(0,contentSize)); in noteMoreBodyDataAvailable()
2093 storeEntry()->deferProducer(call); in noteMoreBodyDataAvailable()
2104 storeEntry()->write(ioBuf); in noteMoreBodyDataAvailable()
2133 storeEntry()->complete(); in endRequestSatisfaction()
2158 const bool usedStore = storeEntry() && !storeEntry()->isEmpty(); in handleAdaptationFailure()
/dports/sysutils/lizardfs/lizardfs-3.12.0/src/common/
H A Dacl_converter.cc77 static void storeEntry(std::vector<uint8_t> &buffer, const AccessControlList::Entry &entry) { in storeEntry() function
137 storeEntry(buffer, acl.getEntry(AccessControlList::kUser, 0)); in aclObjectToXattr()
142 storeEntry(buffer, entry); in aclObjectToXattr()
145 storeEntry(buffer, acl.getEntry(AccessControlList::kGroup, 0)); in aclObjectToXattr()
150 storeEntry(buffer, entry); in aclObjectToXattr()
153 storeEntry(buffer, acl.getEntry(AccessControlList::kMask, 0)); in aclObjectToXattr()
154 storeEntry(buffer, acl.getEntry(AccessControlList::kOther, 0)); in aclObjectToXattr()
/dports/www/ilias/ILIAS-5.4.25/Services/Calendar/classes/
H A Dclass.ilCalendarCache.php59 …public function storeEntry($a_entry_id, $a_value, $a_key1 = 0, $a_key2 = 0, $a_key3 = '', $a_key4 … function in ilCalendarCache
64 parent::storeEntry($a_entry_id, $a_value, $a_key1, $a_key2, $a_key3, $a_key4);
80 parent::storeEntry($a_entry_id, $a_value, $a_key1, $a_key2, $a_key3, $a_key4);
/dports/www/ilias6/ILIAS-6.14/Services/Calendar/classes/
H A Dclass.ilCalendarCache.php59 …public function storeEntry($a_entry_id, $a_value, $a_key1 = 0, $a_key2 = 0, $a_key3 = '', $a_key4 … function in ilCalendarCache
64 parent::storeEntry($a_entry_id, $a_value, $a_key1, $a_key2, $a_key3, $a_key4);
80 parent::storeEntry($a_entry_id, $a_value, $a_key1, $a_key2, $a_key3, $a_key4);
/dports/biology/ugene/ugene-40.1/src/plugins/workflow_designer/src/library/
H A DDocWorkers.h57 virtual void storeEntry(IOAdapter *io, const QVariantMap &data, int entryNum);
136 virtual void storeEntry(IOAdapter *io, const QVariantMap &data, int entryNum);
154 void storeEntry(IOAdapter *io, const QVariantMap &data, int entryNum) override;
172 virtual void storeEntry(IOAdapter *io, const QVariantMap &data, int entryNum);
190 virtual void storeEntry(IOAdapter *io, const QVariantMap &data, int entryNum);
H A DWriteVariationWorker.cpp61 void WriteVariationWorker::storeEntry(IOAdapter *io, const QVariantMap &data, int entryNum) { in storeEntry() function in U2::LocalWorkflow::WriteVariationWorker
85 format->storeEntry(io, objectsMap, os); in storeEntry()
H A DDocWorkers.cpp260 void FastaWriter::storeEntry(IOAdapter *io, const QVariantMap &data, int entryNum) { in storeEntry() function in U2::LocalWorkflow::FastaWriter
361 format->storeEntry(io, objectsMap, os); in streamingStoreEntry()
371 void FastQWriter::storeEntry(IOAdapter *io, const QVariantMap &data, int entryNum) { in storeEntry() function in U2::LocalWorkflow::FastQWriter
415 format->storeEntry(io, objectsMap, os); in streamingStoreEntry()
425 void RawSeqWriter::storeEntry(IOAdapter *io, const QVariantMap &data, int entryNum) { in storeEntry() function in U2::LocalWorkflow::RawSeqWriter
466 format->storeEntry(io, objectsMap, os); in streamingStoreEntry()
487 void GenbankWriter::storeEntry(IOAdapter *io, const QVariantMap &data, int entryNum) { in storeEntry() function in U2::LocalWorkflow::GenbankWriter
610 format->storeEntry(io, objectsMap, os); in streamingStoreEntry()
764 void SeqWriter::storeEntry(IOAdapter *io, const QVariantMap &data, int entryNum) { in storeEntry() function in U2::LocalWorkflow::SeqWriter
H A DWriteVariationWorker.h37 virtual void storeEntry(IOAdapter *io, const QVariantMap &data, int entryNum);
/dports/www/ilias/ILIAS-5.4.25/Services/Object/classes/
H A Dclass.ilListItemAccessCache.php68 public function storeEntry( function in ilListItemAccessCache
77 parent::storeEntry($a_id, $a_value, $a_ref_id);
/dports/www/ilias6/ILIAS-6.14/Services/Object/classes/
H A Dclass.ilListItemAccessCache.php68 public function storeEntry( function in ilListItemAccessCache
77 parent::storeEntry($a_id, $a_value, $a_ref_id);
/dports/www/ilias/ILIAS-5.4.25/Services/News/classes/
H A Dclass.ilNewsCache.php70 public function storeEntry( function in ilNewsCache
80 parent::storeEntry($a_id, $a_value);
/dports/www/ilias6/ILIAS-6.14/Services/News/classes/
H A Dclass.ilNewsCache.php70 public function storeEntry( function in ilNewsCache
80 parent::storeEntry($a_id, $a_value);
/dports/lang/nx/nsf2.3.0/library/xotcl/library/lib/
H A Dpackage.xotcl81 package::tracker proc storeEntry {table index} {
103 package::tracker storeEntry $table [lindex $args 0]
108 package::tracker storeEntry instproc [self]->[lindex $args 0]
112 package::tracker storeEntry proc [self]->[lindex $args 0]
/dports/biology/ugene/ugene-40.1/src/corelibs/U2Formats/src/
H A DPhylipFormat.h53 …virtual void storeEntry(IOAdapter *io, const QMap<GObjectType, QList<GObject *>> &objectsMap, U2Op…
64 …virtual void storeEntry(IOAdapter *io, const QMap<GObjectType, QList<GObject *>> &objectsMap, U2Op…
H A DFastqFormat.h48 …virtual void storeEntry(IOAdapter *io, const QMap<GObjectType, QList<GObject *>> &objectsMap, U2Op…
/dports/net/rclone/rclone-1.57.0/vendor/storj.io/common/encryption/
H A Dstore_test.go181 type storeEntry struct {
201 expected := map[storeEntry]struct{}{
215 got := make(map[storeEntry]struct{})
217 got[storeEntry{bucket, unenc, enc, key, pathCipher}] = struct{}{}
/dports/net/storj/storj-1.45.3/vendor/storj.io/common/encryption/
H A Dstore_test.go181 type storeEntry struct {
201 expected := map[storeEntry]struct{}{
215 got := make(map[storeEntry]struct{})
217 got[storeEntry{bucket, unenc, enc, key, pathCipher}] = struct{}{}
/dports/www/falkon/falkon-3.2.0/src/plugins/GnomeKeyringPasswords/
H A Dgnomekeyringpasswordbackend.cpp76 static void storeEntry(PasswordEntry &entry) in storeEntry() function
146 storeEntry(stored); in addEntry()
299 storeEntry(entry); in initialize()
/dports/www/ilias/ILIAS-5.4.25/Services/Cache/test/
H A DilCacheTest.php56 $get = $cache->storeEntry("test_id", "test_value");
/dports/www/ilias6/ILIAS-6.14/Services/Cache/test/
H A DilCacheTest.php58 $get = $cache->storeEntry("test_id", "test_value");
/dports/net/openldap25-server/openldap-2.5.9/contrib/slapd-modules/ppm/
H A Dppm.h116 void storeEntry(char *param, char *value, valueType valType,

1234