Home
last modified time | relevance | path

Searched refs:synced (Results 1 – 25 of 3220) sorted by relevance

12345678910>>...129

/dports/games/spring/spring_98.0/rts/Map/
H A DGround.h14 static float GetApproximateHeight(float x, float z, bool synced = true);
18 static float GetHeightReal(float x, float z, bool synced = true);
21 static float GetSlope(float x, float z, bool synced = true);
24 static float3 GetSmoothNormal(float x, float z, bool synced = true);
26 …t GetApproximateHeight(const float3& p, bool synced = true) { return (GetApproximateHeight(p.x, p.…
27 …oat GetHeightAboveWater(const float3& p, bool synced = true) { return (GetHeightAboveWater(p.x, p.…
28 …static float GetHeightReal(const float3& p, bool synced = true) { return (GetHeightReal(p.x, p.z,
31 static float GetSlope(const float3& p, bool synced = true) { return (GetSlope(p.x, p.z, synced)); }
32 …atic const float3& GetNormal(const float3& p, bool synced = true) { return (GetNormal(p.x, p.z, sy…
33 …t3& GetNormalAboveWater(const float3& p, bool synced = true) { return (GetNormalAboveWater(p.x, p.…
[all …]
H A DGround.cpp206 float CGround::LineGroundCol(float3 from, float3 to, bool synced) in LineGroundCol() argument
208 const float* hm = readMap->GetSharedCornerHeightMap(synced); in LineGroundCol()
209 const float3* nm = readMap->GetSharedFaceNormals(synced); in LineGroundCol()
388 return std::max(0.0f, GetHeightReal(x, z, synced)); in GetHeightAboveWater()
391 float CGround::GetHeightReal(float x, float z, bool synced) in GetHeightReal() argument
402 const float3& CGround::GetNormal(float x, float z, bool synced) in GetNormal() argument
413 if (GetHeightReal(x, z, synced) <= 0.0f) in GetNormalAboveWater()
416 return (GetNormal(x, z, synced)); in GetNormalAboveWater()
420 float CGround::GetSlope(float x, float z, bool synced) in GetSlope() argument
424 const float* slopeMap = readMap->GetSharedSlopeMap(synced); in GetSlope()
[all …]
/dports/www/firefox/firefox-99.0/browser/locales/en-US/browser/
H A DsyncedTabs.ftl5 synced-tabs-sidebar-title = Synced Tabs
9 synced-tabs-sidebar-notabs = No open tabs
13 synced-tabs-sidebar-search =
14 .placeholder = Search synced tabs
15 synced-tabs-context-open =
18 synced-tabs-context-open-in-new-tab =
21 synced-tabs-context-open-in-new-window =
30 synced-tabs-context-copy =
33 synced-tabs-context-open-all-in-tabs =
36 synced-tabs-context-manage-devices =
[all …]
/dports/www/firefox-esr/firefox-91.8.0/browser/locales/en-US/browser/
H A DsyncedTabs.ftl5 synced-tabs-sidebar-title = Synced Tabs
9 synced-tabs-sidebar-notabs = No open tabs
13 synced-tabs-sidebar-search =
14 .placeholder = Search synced tabs
15 synced-tabs-context-open =
18 synced-tabs-context-open-in-new-tab =
21 synced-tabs-context-open-in-new-window =
30 synced-tabs-context-copy =
33 synced-tabs-context-open-all-in-tabs =
36 synced-tabs-context-manage-devices =
[all …]
/dports/mail/thunderbird/thunderbird-91.8.0/browser/locales/en-US/browser/
H A DsyncedTabs.ftl5 synced-tabs-sidebar-title = Synced Tabs
9 synced-tabs-sidebar-notabs = No open tabs
13 synced-tabs-sidebar-search =
14 .placeholder = Search synced tabs
15 synced-tabs-context-open =
18 synced-tabs-context-open-in-new-tab =
21 synced-tabs-context-open-in-new-window =
30 synced-tabs-context-copy =
33 synced-tabs-context-open-all-in-tabs =
36 synced-tabs-context-manage-devices =
[all …]
/dports/databases/xtrabackup/percona-xtrabackup-2.4.21/mysql-test/suite/rpl/r/
H A Drpl_sync_relay_log_info.result19 Wait until (MYISAM, sync_rli=0, step=1) SQL thread synced master binlog file
20 Wait until (MYISAM, sync_rli=0, step=1) SQL thread synced master binlog position
47 Wait until (MYISAM, sync_rli=0, step=4) SQL thread synced master binlog file
64 Wait until (MYISAM, sync_rli=0, step=6) SQL thread synced master binlog file
90 Wait until (MYISAM, sync_rli=0, step=9) SQL thread synced master binlog file
98 Wait until (MYISAM, sync_rli=0, step=10) SQL thread synced master binlog file
124 Wait until (MYISAM, sync_rli=0, step=13) SQL thread synced master binlog file
181 Wait until (MYISAM, sync_rli=1, step=1) SQL thread synced master binlog file
191 Wait until (MYISAM, sync_rli=1, step=2) SQL thread synced master binlog file
200 Wait until (MYISAM, sync_rli=1, step=3) SQL thread synced master binlog file
[all …]
/dports/databases/mysqlwsrep57-server/mysql-wsrep-wsrep_5.7.35-25.27/mysql-test/suite/rpl/r/
H A Drpl_sync_relay_log_info.result19 Wait until (MYISAM, sync_rli=0, step=1) SQL thread synced master binlog file
20 Wait until (MYISAM, sync_rli=0, step=1) SQL thread synced master binlog position
47 Wait until (MYISAM, sync_rli=0, step=4) SQL thread synced master binlog file
64 Wait until (MYISAM, sync_rli=0, step=6) SQL thread synced master binlog file
90 Wait until (MYISAM, sync_rli=0, step=9) SQL thread synced master binlog file
98 Wait until (MYISAM, sync_rli=0, step=10) SQL thread synced master binlog file
124 Wait until (MYISAM, sync_rli=0, step=13) SQL thread synced master binlog file
181 Wait until (MYISAM, sync_rli=1, step=1) SQL thread synced master binlog file
191 Wait until (MYISAM, sync_rli=1, step=2) SQL thread synced master binlog file
200 Wait until (MYISAM, sync_rli=1, step=3) SQL thread synced master binlog file
[all …]
/dports/databases/percona57-pam-for-mysql/percona-server-5.7.36-39/mysql-test/suite/rpl/r/
H A Drpl_sync_relay_log_info.result19 Wait until (MYISAM, sync_rli=0, step=1) SQL thread synced master binlog file
20 Wait until (MYISAM, sync_rli=0, step=1) SQL thread synced master binlog position
47 Wait until (MYISAM, sync_rli=0, step=4) SQL thread synced master binlog file
64 Wait until (MYISAM, sync_rli=0, step=6) SQL thread synced master binlog file
90 Wait until (MYISAM, sync_rli=0, step=9) SQL thread synced master binlog file
98 Wait until (MYISAM, sync_rli=0, step=10) SQL thread synced master binlog file
124 Wait until (MYISAM, sync_rli=0, step=13) SQL thread synced master binlog file
181 Wait until (MYISAM, sync_rli=1, step=1) SQL thread synced master binlog file
191 Wait until (MYISAM, sync_rli=1, step=2) SQL thread synced master binlog file
200 Wait until (MYISAM, sync_rli=1, step=3) SQL thread synced master binlog file
[all …]
/dports/databases/percona57-server/percona-server-5.7.36-39/mysql-test/suite/rpl/r/
H A Drpl_sync_relay_log_info.result19 Wait until (MYISAM, sync_rli=0, step=1) SQL thread synced master binlog file
20 Wait until (MYISAM, sync_rli=0, step=1) SQL thread synced master binlog position
47 Wait until (MYISAM, sync_rli=0, step=4) SQL thread synced master binlog file
64 Wait until (MYISAM, sync_rli=0, step=6) SQL thread synced master binlog file
90 Wait until (MYISAM, sync_rli=0, step=9) SQL thread synced master binlog file
98 Wait until (MYISAM, sync_rli=0, step=10) SQL thread synced master binlog file
124 Wait until (MYISAM, sync_rli=0, step=13) SQL thread synced master binlog file
181 Wait until (MYISAM, sync_rli=1, step=1) SQL thread synced master binlog file
191 Wait until (MYISAM, sync_rli=1, step=2) SQL thread synced master binlog file
200 Wait until (MYISAM, sync_rli=1, step=3) SQL thread synced master binlog file
[all …]
/dports/databases/percona57-client/percona-server-5.7.36-39/mysql-test/suite/rpl/r/
H A Drpl_sync_relay_log_info.result19 Wait until (MYISAM, sync_rli=0, step=1) SQL thread synced master binlog file
20 Wait until (MYISAM, sync_rli=0, step=1) SQL thread synced master binlog position
47 Wait until (MYISAM, sync_rli=0, step=4) SQL thread synced master binlog file
64 Wait until (MYISAM, sync_rli=0, step=6) SQL thread synced master binlog file
90 Wait until (MYISAM, sync_rli=0, step=9) SQL thread synced master binlog file
98 Wait until (MYISAM, sync_rli=0, step=10) SQL thread synced master binlog file
124 Wait until (MYISAM, sync_rli=0, step=13) SQL thread synced master binlog file
181 Wait until (MYISAM, sync_rli=1, step=1) SQL thread synced master binlog file
191 Wait until (MYISAM, sync_rli=1, step=2) SQL thread synced master binlog file
200 Wait until (MYISAM, sync_rli=1, step=3) SQL thread synced master binlog file
[all …]
/dports/databases/mysql57-client/mysql-5.7.36/mysql-test/suite/rpl/r/
H A Drpl_sync_relay_log_info.result19 Wait until (MYISAM, sync_rli=0, step=1) SQL thread synced master binlog file
20 Wait until (MYISAM, sync_rli=0, step=1) SQL thread synced master binlog position
47 Wait until (MYISAM, sync_rli=0, step=4) SQL thread synced master binlog file
64 Wait until (MYISAM, sync_rli=0, step=6) SQL thread synced master binlog file
90 Wait until (MYISAM, sync_rli=0, step=9) SQL thread synced master binlog file
98 Wait until (MYISAM, sync_rli=0, step=10) SQL thread synced master binlog file
124 Wait until (MYISAM, sync_rli=0, step=13) SQL thread synced master binlog file
181 Wait until (MYISAM, sync_rli=1, step=1) SQL thread synced master binlog file
191 Wait until (MYISAM, sync_rli=1, step=2) SQL thread synced master binlog file
200 Wait until (MYISAM, sync_rli=1, step=3) SQL thread synced master binlog file
[all …]
/dports/databases/xtrabackup8/percona-xtrabackup-8.0.14/mysql-test/suite/rpl_gtid/r/
H A Drpl_sync_relay_log_info.result19 Wait until (INNODB, sync_rli=0, step=1) SQL thread synced master binlog file
20 Wait until (INNODB, sync_rli=0, step=1) SQL thread synced master binlog position
29 Wait until (INNODB, sync_rli=0, step=2) SQL thread synced master binlog file
30 Wait until (INNODB, sync_rli=0, step=2) SQL thread synced master binlog position
38 Wait until (INNODB, sync_rli=0, step=3) SQL thread synced master binlog file
39 Wait until (INNODB, sync_rli=0, step=3) SQL thread synced master binlog position
47 Wait until (INNODB, sync_rli=0, step=4) SQL thread synced master binlog file
56 Wait until (INNODB, sync_rli=0, step=5) SQL thread synced master binlog file
64 Wait until (INNODB, sync_rli=0, step=6) SQL thread synced master binlog file
90 Wait until (INNODB, sync_rli=0, step=9) SQL thread synced master binlog file
[all …]
H A Drpl_sync_relay_log_info_myisam.result19 Wait until (MYISAM, sync_rli=0, step=1) SQL thread synced master binlog file
20 Wait until (MYISAM, sync_rli=0, step=1) SQL thread synced master binlog position
47 Wait until (MYISAM, sync_rli=0, step=4) SQL thread synced master binlog file
64 Wait until (MYISAM, sync_rli=0, step=6) SQL thread synced master binlog file
90 Wait until (MYISAM, sync_rli=0, step=9) SQL thread synced master binlog file
98 Wait until (MYISAM, sync_rli=0, step=10) SQL thread synced master binlog file
124 Wait until (MYISAM, sync_rli=0, step=13) SQL thread synced master binlog file
181 Wait until (MYISAM, sync_rli=1, step=1) SQL thread synced master binlog file
191 Wait until (MYISAM, sync_rli=1, step=2) SQL thread synced master binlog file
200 Wait until (MYISAM, sync_rli=1, step=3) SQL thread synced master binlog file
[all …]
/dports/lang/spidermonkey78/firefox-78.9.0/browser/locales/en-US/browser/
H A DsyncedTabs.ftl5 synced-tabs-sidebar-title = Synced Tabs
9 synced-tabs-sidebar-notabs = No open tabs
13 synced-tabs-sidebar-search =
14 .placeholder = Search synced tabs
15 synced-tabs-context-open =
18 synced-tabs-context-open-in-new-tab =
21 synced-tabs-context-open-in-new-window =
30 synced-tabs-context-copy =
33 synced-tabs-context-open-all-in-tabs =
36 synced-tabs-context-manage-devices =
[all …]
/dports/devel/aws-c-mqtt/aws-c-mqtt-0.7.8/tests/
H A Dmqtt_mock_server_handler.c42 } synced; member
68 aws_mutex_lock(&server->synced.lock); in s_mqtt_mock_server_handler_process_packet()
70 aws_mutex_unlock(&server->synced.lock); in s_mqtt_mock_server_handler_process_packet()
84 … connacks_available = server->synced.connacks_avail > 0 ? server->synced.connacks_avail-- : 0; in s_mqtt_mock_server_handler_process_packet()
113 … ping_resp_available = server->synced.ping_resp_avail > 0 ? server->synced.ping_resp_avail-- : 0; in s_mqtt_mock_server_handler_process_packet()
320 aws_mutex_lock(&server->synced.lock); in mqtt_mock_server_send_publish()
423 server->synced.auto_ack = true; in new_mqtt_mock_server()
424 aws_mutex_init(&server->synced.lock); in new_mqtt_mock_server()
472 server->synced.auto_ack = false; in mqtt_mock_server_disable_auto_ack()
480 server->synced.auto_ack = true; in mqtt_mock_server_enable_auto_ack()
[all …]
/dports/audio/lollypop/lollypop-5b2d95f4f8509d80fa86ab7d65b118326dc82a95/lollypop/
H A Dmenu_sync.py38 if synced:
60 def on_get_synced(synced, sync_action): argument
63 synced = False
87 return synced
113 synced = variant.get_boolean()
116 action.set_enabled(not synced)
172 synced = album.synced | (1 << index)
174 synced = album.synced & ~(1 << index)
175 album.set_synced(synced)
210 synced = initial | (1 << index)
[all …]
/dports/games/spring/spring_98.0/rts/Lua/
H A DLuaPathFinder.cpp125 const bool synced = CLuaHandle::GetHandleSynced(L); in path_next() local
230 const bool synced = CLuaHandle::GetHandleSynced(L); in RequestPath() local
253 const bool synced = CLuaHandle::GetHandleSynced(L); in InitPathNodeCostsArray() local
255 std::map<unsigned int, NodeCostOverlay>& map = synced? in InitPathNodeCostsArray()
278 const bool synced = CLuaHandle::GetHandleSynced(L); in FreePathNodeCostsArray() local
280 std::map<unsigned int, NodeCostOverlay>& map = synced? in FreePathNodeCostsArray()
293 pathManager->SetNodeExtraCosts(NULL, 1, 1, synced); in FreePathNodeCostsArray()
307 const bool synced = CLuaHandle::GetHandleSynced(L); in SetPathNodeCosts() local
309 std::map<unsigned int, NodeCostOverlay>& map = synced? in SetPathNodeCosts()
336 const bool synced = CLuaHandle::GetHandleSynced(L); in SetPathNodeCost() local
[all …]
/dports/games/spring/spring_98.0/rts/Sim/Path/Default/
H A DPathManager.cpp83 bool synced in RequestPath() argument
143 bool synced in RequestPath() argument
157 pfDef->synced = synced; in RequestPath()
230 LowRes2MedRes(*newPath, startPos, caller, synced); in RequestPath()
298 rangedGoalPFD.synced = synced; in MedRes2MaxRes()
345 rangedGoalDef.synced = synced; in LowRes2MedRes()
373 bool synced in NextWayPoint() argument
403 assert(multiPath->peDef->synced == synced); in NextWayPoint()
617 maxResBuf.SetNodeExtraCost(x, z, cost, synced); in SetNodeExtraCost()
618 medResBuf.SetNodeExtraCost(x, z, cost, synced); in SetNodeExtraCost()
[all …]
/dports/databases/galera26/galera-release_26.4.10/wsrep/src/examples/node/
H A Dwsrep.c52 synced; member
71 .synced =
290 if (pthread_mutex_lock(&wsrep->synced.mtx)) in wsrep_synced_cb()
296 if (wsrep->synced.value == 0) in wsrep_synced_cb()
299 wsrep->synced.value = 1; in wsrep_synced_cb()
303 pthread_mutex_unlock(&wsrep->synced.mtx); in wsrep_synced_cb()
409 pthread_mutex_unlock(&wsrep->synced.mtx); in node_wsrep_disconnect()
449 while (wsrep->synced.value == 0) in node_wsrep_wait_synced()
451 pthread_cond_wait(&wsrep->synced.cond, &wsrep->synced.mtx); in node_wsrep_wait_synced()
454 bool const ret = wsrep->synced.value > 0; in node_wsrep_wait_synced()
[all …]
/dports/databases/mariadb104-server/mariadb-10.4.24/wsrep-lib/wsrep-API/v26/examples/node/
H A Dwsrep.c52 synced; member
71 .synced =
290 if (pthread_mutex_lock(&wsrep->synced.mtx)) in wsrep_synced_cb()
296 if (wsrep->synced.value == 0) in wsrep_synced_cb()
299 wsrep->synced.value = 1; in wsrep_synced_cb()
303 pthread_mutex_unlock(&wsrep->synced.mtx); in wsrep_synced_cb()
409 pthread_mutex_unlock(&wsrep->synced.mtx); in node_wsrep_disconnect()
449 while (wsrep->synced.value == 0) in node_wsrep_wait_synced()
451 pthread_cond_wait(&wsrep->synced.cond, &wsrep->synced.mtx); in node_wsrep_wait_synced()
454 bool const ret = wsrep->synced.value > 0; in node_wsrep_wait_synced()
[all …]
/dports/databases/mariadb104-client/mariadb-10.4.24/wsrep-lib/wsrep-API/v26/examples/node/
H A Dwsrep.c52 synced; member
71 .synced =
290 if (pthread_mutex_lock(&wsrep->synced.mtx)) in wsrep_synced_cb()
296 if (wsrep->synced.value == 0) in wsrep_synced_cb()
299 wsrep->synced.value = 1; in wsrep_synced_cb()
303 pthread_mutex_unlock(&wsrep->synced.mtx); in wsrep_synced_cb()
409 pthread_mutex_unlock(&wsrep->synced.mtx); in node_wsrep_disconnect()
449 while (wsrep->synced.value == 0) in node_wsrep_wait_synced()
451 pthread_cond_wait(&wsrep->synced.cond, &wsrep->synced.mtx); in node_wsrep_wait_synced()
454 bool const ret = wsrep->synced.value > 0; in node_wsrep_wait_synced()
[all …]
/dports/databases/mariadb105-client/mariadb-10.5.15/wsrep-lib/wsrep-API/v26/examples/node/
H A Dwsrep.c52 synced; member
71 .synced =
290 if (pthread_mutex_lock(&wsrep->synced.mtx)) in wsrep_synced_cb()
296 if (wsrep->synced.value == 0) in wsrep_synced_cb()
299 wsrep->synced.value = 1; in wsrep_synced_cb()
303 pthread_mutex_unlock(&wsrep->synced.mtx); in wsrep_synced_cb()
409 pthread_mutex_unlock(&wsrep->synced.mtx); in node_wsrep_disconnect()
449 while (wsrep->synced.value == 0) in node_wsrep_wait_synced()
451 pthread_cond_wait(&wsrep->synced.cond, &wsrep->synced.mtx); in node_wsrep_wait_synced()
454 bool const ret = wsrep->synced.value > 0; in node_wsrep_wait_synced()
[all …]
/dports/databases/mariadb105-server/mariadb-10.5.15/wsrep-lib/wsrep-API/v26/examples/node/
H A Dwsrep.c52 synced; member
71 .synced =
290 if (pthread_mutex_lock(&wsrep->synced.mtx)) in wsrep_synced_cb()
296 if (wsrep->synced.value == 0) in wsrep_synced_cb()
299 wsrep->synced.value = 1; in wsrep_synced_cb()
303 pthread_mutex_unlock(&wsrep->synced.mtx); in wsrep_synced_cb()
409 pthread_mutex_unlock(&wsrep->synced.mtx); in node_wsrep_disconnect()
449 while (wsrep->synced.value == 0) in node_wsrep_wait_synced()
451 pthread_cond_wait(&wsrep->synced.cond, &wsrep->synced.mtx); in node_wsrep_wait_synced()
454 bool const ret = wsrep->synced.value > 0; in node_wsrep_wait_synced()
[all …]
/dports/games/spring/spring_98.0/rts/Sim/Path/
H A DIPathManager.h75 bool synced in NextWayPoint() argument
136 bool synced in RequestPath() argument
159 …traCosts(const float* costs, unsigned int sizex, unsigned int sizez, bool synced) { return false; } in SetNodeExtraCosts() argument
160 …virtual bool SetNodeExtraCost(unsigned int x, unsigned int z, float cost, bool synced) { return fa… in SetNodeExtraCost() argument
161 virtual float GetNodeExtraCost(unsigned int x, unsigned int z, bool synced) const { return 0.0f; } in GetNodeExtraCost() argument
162 virtual const float* GetNodeExtraCosts(bool synced) const { return NULL; } in GetNodeExtraCosts() argument
/dports/net-mgmt/thanos/thanos-0.11.0/pkg/block/
H A Dfetcher.go40 synced *extprom.TxGaugeVec member
97 m.synced,
261 s.metrics.synced.ResetTx()
285 s.metrics.synced.WithLabelValues(noMeta).Inc()
358 f(metas, s.metrics.synced, incompleteView)
362 s.metrics.synced.Submit()
390 synced.WithLabelValues(timeExcludedMeta).Inc()
413 synced.WithLabelValues(labelExcludedMeta).Inc()
447 }), metasByResolution[res], metas, res, synced)
475 synced.WithLabelValues(duplicateMeta).Inc()
[all …]

12345678910>>...129