Home
last modified time | relevance | path

Searched refs:task_scope (Results 1 – 3 of 3) sorted by relevance

/dports/cad/iverilog/verilog-11.0/tgt-vlog95/
H A Dstmt.c805 ivl_scope_t task_scope = 0; in is_utask_call_with_args() local
821 task_scope = ivl_stmt_call(tmp); in is_utask_call_with_args()
835 if (!task_scope) return 0; in is_utask_call_with_args()
836 ports = ivl_scope_ports(task_scope); in is_utask_call_with_args()
897 emit_scope_path(scope, task_scope); in is_utask_call_with_args()
1439 && ivl_scope_ports(task_scope) == 0) in emit_stmt_utask()
1440 || (is_void_function(task_scope) in emit_stmt_utask()
1441 && ivl_scope_ports(task_scope) == 1)); in emit_stmt_utask()
1443 if (is_void_function(task_scope)) in emit_stmt_utask()
1445 emit_scope_path(scope, task_scope); in emit_stmt_utask()
[all …]
/dports/cad/iverilog/verilog-11.0/
H A Delab_scope.cc618 NetScope*task_scope = new NetScope(scope, use_name, NetScope::TASK); in elaborate_scope_task() local
619 task_scope->is_auto(task->is_auto()); in elaborate_scope_task()
620 task_scope->set_line(task); in elaborate_scope_task()
621 task_scope->add_imports(&task->explicit_imports); in elaborate_scope_task()
625 << "Elaborate task scope " << scope_path(task_scope) << endl; in elaborate_scope_task()
628 task->elaborate_scope(des, task_scope); in elaborate_scope_task()
649 task_scope->is_auto(task->is_auto()); in elaborate_scope_func()
650 task_scope->set_line(task); in elaborate_scope_func()
651 task_scope->add_imports(&task->explicit_imports); in elaborate_scope_func()
655 << "Elaborate task scope " << scope_path(task_scope) << endl; in elaborate_scope_func()
[all …]
/dports/cad/iverilog/verilog-11.0/tgt-vvp/
H A Dvvp_process.c2183 ivl_scope_t task_scope = 0; in is_utask_call_with_args() local
2192 if (ivl_statement_type(tmp) == IVL_ST_UTASK && !task_scope) { in is_utask_call_with_args()
2194 task_scope = ivl_stmt_call(tmp); in is_utask_call_with_args()
2195 assert((ivl_scope_type(task_scope) == IVL_SCT_TASK) || in is_utask_call_with_args()
2196 (ivl_scope_type(task_scope) == IVL_SCT_FUNCTION)); in is_utask_call_with_args()
2202 if (!task_scope) return 0; in is_utask_call_with_args()
2203 ports = ivl_scope_ports(task_scope); in is_utask_call_with_args()
2215 unsigned port = utask_in_port_idx(task_scope, assign); in is_utask_call_with_args()
2226 unsigned port = utask_out_port_idx(task_scope, assign); in is_utask_call_with_args()
2247 is_void_func = (ivl_scope_type(task_scope) == IVL_SCT_FUNCTION); in is_utask_call_with_args()