Home
last modified time | relevance | path

Searched refs:test_modules (Results 1 – 25 of 190) sorted by relevance

12345678

/dports/deskutils/notify-osd/notify-osd-0.9.34/tests/
H A DMakefile.in90 test_modules-egg-units.$(OBJEXT) \
93 test_modules-egg-alpha.$(OBJEXT) \
94 test_modules-egg-hack.$(OBJEXT)
96 test_modules-defaults.$(OBJEXT) test_modules-dialog.$(OBJEXT) \
98 test_modules-observer.$(OBJEXT) test_modules-stack.$(OBJEXT) \
99 test_modules-dbus.$(OBJEXT) test_modules-dnd.$(OBJEXT) \
100 test_modules-apport.$(OBJEXT) test_modules-util.$(OBJEXT) \
104 test_modules-raico-blur.$(OBJEXT) test_modules-tile.$(OBJEXT) \
108 test_modules-log.$(OBJEXT) test_modules-timings.$(OBJEXT) \
111 test_modules-test-dbus.$(OBJEXT) \
[all …]
/dports/graphics/darktable38/darktable-3.8.0/src/external/rawspeed/lnt/raw-sample-archive/rsbench/
H A Dlit.local.cfg1 test_modules = config.test_modules
2 if 'run' in test_modules:
4 test_modules.insert(test_modules.index('run') + 1, 'rsbench')
6 if 'timeit' in test_modules:
7 test_modules.remove('timeit')
/dports/graphics/darktable/darktable-3.6.1/src/external/rawspeed/lnt/raw-sample-archive/rsbench/
H A Dlit.local.cfg1 test_modules = config.test_modules
2 if 'run' in test_modules:
4 test_modules.insert(test_modules.index('run') + 1, 'rsbench')
6 if 'timeit' in test_modules:
7 test_modules.remove('timeit')
/dports/graphics/photoflow/PhotoFlow-8472024f/src/external/rawspeed/lnt/raw-sample-archive/rsbench/
H A Dlit.local.cfg1 test_modules = config.test_modules
2 if 'run' in test_modules:
4 test_modules.insert(test_modules.index('run') + 1, 'rsbench')
6 if 'timeit' in test_modules:
7 test_modules.remove('timeit')
/dports/devel/android-tools-fastboot/platform_system_core-platform-tools-29.0.5/libmodprobe/
H A Dlibmodprobe_ext_test.cpp37 if (std::find(test_modules.begin(), test_modules.end(), deps.front()) == test_modules.end()) { in Insmod()
77 return std::find(test_modules.begin(), test_modules.end(), deps.front()) != test_modules.end(); in ModuleExists()
H A Dlibmodprobe_test.cpp29 std::vector<std::string> test_modules; variable
35 test_modules = { in TEST()
131 for (auto i = test_modules.begin(); i != test_modules.end(); ++i) { in TEST()
/dports/devel/lua-luarocks/luarocks-3.8.0/src/luarocks/
H A Dtest.lua12 local test_modules = {}
16 table.insert(test_modules, mod)
17 test_modules[test_type] = mod
18 test_modules[mod] = test_type
26 for _, test_module in ipairs(test_modules) do
28 return test_modules[test_module]
/dports/devel/py-game/pygame-2.1.0/test/test_utils/
H A Drun_tests.py170 test_modules = [m.endswith("_test") and (fmt1 % m) or (fmt2 % m) for m in args]
172 test_modules = []
175 test_modules.append(fmt1 % (match,))
180 tmp = test_modules
181 test_modules = []
187 test_modules.append(name)
193 test_modules.append(name)
200 test_modules.append(name)
219 random.shuffle(test_modules)
227 for module in test_modules:
[all …]
/dports/devel/spark/spark-2.1.1/dev/
H A Drun-tests.py391 def run_scala_tests_sbt(test_modules, test_profiles): argument
406 def run_scala_tests(build_tool, hadoop_version, test_modules, excluded_tags): argument
411 test_modules = set(test_modules)
422 run_scala_tests_sbt(test_modules, test_profiles)
425 def run_python_tests(test_modules, parallelism): argument
429 if test_modules != [modules.root]:
430 command.append("--modules=%s" % ','.join(m.name for m in test_modules))
546 test_modules = determine_modules_to_test(changed_modules)
572 if any(m.should_run_build_tests for m in test_modules):
587 run_scala_tests(build_tool, hadoop_version, test_modules, excluded_tags)
[all …]
/dports/net/py-eventlet/eventlet-0.33.0/tests/stdlib/
H A Dall_modules.py2 test_modules = [
36 test_modules = test_modules + network_modules
40 return test_modules
/dports/graphics/darktable/darktable-3.6.1/src/external/rawspeed/lnt/
H A Dbuild-lit.local.cfg1 test_modules = config.test_modules
4 if module in test_modules:
5 test_modules.remove(module)
/dports/graphics/darktable38/darktable-3.8.0/src/external/rawspeed/lnt/
H A Dbuild-lit.local.cfg1 test_modules = config.test_modules
4 if module in test_modules:
5 test_modules.remove(module)
/dports/graphics/darktable38/darktable-3.8.0/src/external/rawspeed/lnt/raw-sample-archive/
H A Dlit.local.cfg1 test_modules = config.test_modules
4 if module in test_modules:
5 test_modules.remove(module)
/dports/graphics/darktable/darktable-3.6.1/src/external/rawspeed/lnt/raw-sample-archive/
H A Dlit.local.cfg1 test_modules = config.test_modules
4 if module in test_modules:
5 test_modules.remove(module)
/dports/graphics/photoflow/PhotoFlow-8472024f/src/external/rawspeed/lnt/
H A Dbuild-lit.local.cfg1 test_modules = config.test_modules
4 if module in test_modules:
5 test_modules.remove(module)
/dports/graphics/photoflow/PhotoFlow-8472024f/src/external/rawspeed/lnt/raw-sample-archive/
H A Dlit.local.cfg1 test_modules = config.test_modules
4 if module in test_modules:
5 test_modules.remove(module)
/dports/math/zn_poly/zn_poly-0.9.2/
H A Dmakemakefile.py42 test_modules = ["test", "ref_mul", "invert-test", "pmfvec_fft-test", variable
45 test_modules = ["test/" + x for x in test_modules] variable
196 lib_modules + test_modules + testprof_modules]),
346 for x in lib_modules + test_modules + testprof_modules + demo_progs:
/dports/devel/bugzilla44/bugzilla-4.4.13/t/
H A D012throwables.t32 my %test_modules = ();
36 $test_modules{$module} = ();
61 my $tests = (scalar keys %test_modules) + (scalar keys %test_templates) + 1;
97 foreach my $file (keys %test_modules) {
101 Register(\%test_modules, $file, "could not open file --WARNING");
165 Register(\%test_modules, 'WS_ERROR_CODE',
173 foreach my $file (sort keys %test_modules) {
174 Report($file, @{$test_modules{$file}});
178 Report('WS_ERROR_CODE', @{$test_modules{'WS_ERROR_CODE'}});
218 Register(\%test_modules, $file,
/dports/devel/bugzilla50/bugzilla-5.0.4/t/
H A D012throwables.t35 my %test_modules = ();
39 $test_modules{$module} = ();
64 my $tests = (scalar keys %test_modules) + (scalar keys %test_templates) + 1;
100 foreach my $file (keys %test_modules) {
104 Register(\%test_modules, $file, "could not open file --WARNING");
168 Register(\%test_modules, 'WS_ERROR_CODE',
176 foreach my $file (sort keys %test_modules) {
177 Report($file, @{$test_modules{$file}});
181 Report('WS_ERROR_CODE', @{$test_modules{'WS_ERROR_CODE'}});
221 Register(\%test_modules, $file,
/dports/devel/py-tables/tables-3.6.1/tables/tests/
H A Dtest_suite.py13 test_modules = [
49 test_modules.append('tables.tests.test_garbage')
55 for name in test_modules:
/dports/net/libyang/libyang-1.0.240/tests/schema/
H A Dtest_ietf.c117 test_modules(void **state) in test_modules() function
243 cmocka_unit_test_setup_teardown(test_modules, setup_ctx_yin, teardown_ctx), in main()
244 cmocka_unit_test_setup_teardown(test_modules, setup_ctx_yang, teardown_ctx), in main()
247 cmocka_unit_test_setup_teardown(test_modules, setup_ctx_yin_trusted, teardown_ctx), in main()
248 cmocka_unit_test_setup_teardown(test_modules, setup_ctx_yang_trusted, teardown_ctx), in main()
/dports/devel/p5-Test-Able-Runner/Test-Able-Runner-1.002/lib/Test/Able/Runner/Role/Meta/
H A DClass.pm77 return $meta->test_modules;
162 __PACKAGE__->meta->add_method(test_modules => \&test_modules);
/dports/multimedia/mpv/waf-2.0.20/waflib/extras/
H A Derlang.py77 test_modules = [t.outputs[0] for t in self.tasks]
79 test_task.set_inputs(self.source + test_modules)
80 test_task.cwd = test_modules[0].parent
84 test_list = ", ".join([m.change_ext("").path_from(test_task.cwd)+":test()" for m in test_modules])
/dports/audio/fomp-lv2/fomp-1.2.2/waflib/extras/
H A Derlang.py77 test_modules = [t.outputs[0] for t in self.tasks]
79 test_task.set_inputs(self.source + test_modules)
80 test_task.cwd = test_modules[0].parent
84 test_list = ", ".join([m.change_ext("").path_from(test_task.cwd)+":test()" for m in test_modules])
/dports/audio/audacity/audacity-Audacity-3.1.3/lib-src/lv2/sratom/waflib/extras/
H A Derlang.py77 test_modules = [t.outputs[0] for t in self.tasks]
79 test_task.set_inputs(self.source + test_modules)
80 test_task.cwd = test_modules[0].parent
84 test_list = ", ".join([m.change_ext("").path_from(test_task.cwd)+":test()" for m in test_modules])

12345678