/dports/cad/yosys/yosys-yosys-0.12/techlibs/quicklogic/ |
H A D | synth_quicklogic.cc | 63 string top_opt, blif_file, family, currmodule, verilog_file; member 68 top_opt = "-auto-top"; in clear_flags() 85 top_opt = "-top " + args[++argidx]; in execute() 132 run(stringf("hierarchy -check %s", help_mode ? "-top <top>" : top_opt.c_str())); in script() 223 run(stringf("write_blif -attr -param %s %s", top_opt.c_str(), blif_file.c_str())); in script()
|
/dports/cad/yosys/yosys-yosys-0.12/techlibs/coolrunner2/ |
H A D | synth_coolrunner2.cc | 66 string top_opt, json_file; member 71 top_opt = "-auto-top"; in clear_flags() 86 top_opt = "-top " + args[++argidx]; in execute() 129 run(stringf("hierarchy -check %s", help_mode ? "-top <top>" : top_opt.c_str())); in script()
|
/dports/cad/yosys/yosys-yosys-0.12/techlibs/achronix/ |
H A D | synth_achronix.cc | 63 string top_opt, family_opt, vout_file; member 68 top_opt = "-auto-top"; in clear_flags() 83 top_opt = "-top " + args[++argidx]; in execute() 126 run(stringf("hierarchy -check %s", help_mode ? "-top <top>" : top_opt.c_str())); in script()
|
/dports/cad/yosys/yosys-yosys-0.12/techlibs/easic/ |
H A D | synth_easic.cc | 67 string top_opt, vlog_file, etools_path; member 72 top_opt = "-auto-top"; in clear_flags() 88 top_opt = "-top " + args[++argidx]; in execute() 139 run(stringf("hierarchy -check %s", help_mode ? "-top <top>" : top_opt.c_str())); in script()
|
/dports/cad/yosys/yosys-yosys-0.12/techlibs/anlogic/ |
H A D | synth_anlogic.cc | 72 string top_opt, edif_file, json_file; member 77 top_opt = "-auto-top"; in clear_flags() 94 top_opt = "-top " + args[++argidx]; in execute() 145 run(stringf("hierarchy -check %s", help_mode ? "-top <top>" : top_opt.c_str())); in script()
|
/dports/cad/yosys/yosys-yosys-0.12/techlibs/efinix/ |
H A D | synth_efinix.cc | 72 string top_opt, edif_file, json_file; member 77 top_opt = "-auto-top"; in clear_flags() 94 top_opt = "-top " + args[++argidx]; in execute() 145 run(stringf("hierarchy -check %s", help_mode ? "-top <top>" : top_opt.c_str())); in script()
|
/dports/cad/yosys/yosys-yosys-0.12/techlibs/greenpak4/ |
H A D | synth_greenpak4.cc | 70 string top_opt, part, json_file; member 75 top_opt = "-auto-top"; in clear_flags() 91 top_opt = "-top " + args[++argidx]; in execute() 141 run(stringf("hierarchy -check %s", help_mode ? "-top <top>" : top_opt.c_str())); in script()
|
/dports/cad/yosys/yosys-yosys-0.12/techlibs/sf2/ |
H A D | synth_sf2.cc | 78 string top_opt, edif_file, vlog_file, json_file; member 83 top_opt = "-auto-top"; in clear_flags() 102 top_opt = "-top " + args[++argidx]; in execute() 161 run(stringf("hierarchy -check %s", help_mode ? "-top <top>" : top_opt.c_str())); in script()
|
/dports/cad/yosys/yosys-yosys-0.12/techlibs/machxo2/ |
H A D | synth_machxo2.cc | 76 string top_opt, blif_file, edif_file, json_file; member 81 top_opt = "-auto-top"; in clear_flags() 99 top_opt = "-top " + args[++argidx]; in execute() 158 run(stringf("hierarchy -check %s", help_mode ? "-top <top>" : top_opt.c_str())); in script()
|
/dports/cad/yosys/yosys-yosys-0.12/techlibs/intel/ |
H A D | synth_intel.cc | 81 string top_opt, family_opt, vout_file, blif_file; member 86 top_opt = "-auto-top"; in clear_flags() 108 top_opt = "-top " + args[++argidx]; in execute() 177 run(stringf("hierarchy -check %s", help_mode ? "-top <top>" : top_opt.c_str())); in script()
|
/dports/cad/yosys/yosys-yosys-0.12/techlibs/gowin/ |
H A D | synth_gowin.cc | 90 string top_opt, vout_file, json_file; member 95 top_opt = "-auto-top"; in clear_flags() 118 top_opt = "-top " + args[++argidx]; in execute() 195 run(stringf("hierarchy -check %s", help_mode ? "-top <top>" : top_opt.c_str())); in script()
|
/dports/cad/yosys/yosys-yosys-0.12/techlibs/gatemate/ |
H A D | synth_gatemate.cc | 89 string top_opt, vlog_file, json_file; member 94 top_opt = "-auto-top"; in clear_flags() 118 top_opt = "-top " + args[++argidx]; in execute() 198 run(stringf("hierarchy -check %s", help_mode ? "-top <top>" : top_opt.c_str())); in script()
|
/dports/multimedia/gstreamer1-libav/gst-libav-1.16.2/ext/libav/ |
H A D | gstavcfg.c | 86 register_enum (const AVClass ** obj, const AVOption * top_opt) in register_enum() argument 92 gchar *enum_name = g_strdup_printf ("%s-%s", lower_obj_name, top_opt->unit); in register_enum() 101 if (opt->type == AV_OPT_TYPE_CONST && !g_strcmp0 (top_opt->unit, opt->unit)) { in register_enum() 108 if (opt->default_val.i64 == top_opt->default_val.i64) in register_enum() 126 val.value = top_opt->default_val.i64; in register_enum() 171 register_flags (const AVClass ** obj, const AVOption * top_opt) in register_flags() argument 177 gchar *flags_name = g_strdup_printf ("%s-%s", lower_obj_name, top_opt->unit); in register_flags() 185 if (opt->type == AV_OPT_TYPE_CONST && !g_strcmp0 (top_opt->unit, opt->unit)) { in register_flags() 192 if (!g_strcmp0 (top_opt->name, "flags")) { in register_flags()
|
/dports/cad/yosys/yosys-yosys-0.12/techlibs/intel_alm/ |
H A D | synth_intel_alm.cc | 87 string top_opt, family_opt, bram_type, vout_file; member 92 top_opt = "-auto-top"; in clear_flags() 118 top_opt = "-top " + args[++argidx]; in execute() 212 run(stringf("hierarchy -check %s", help_mode ? "-top <top>" : top_opt.c_str())); in script()
|
/dports/cad/yosys/yosys-yosys-0.12/techlibs/nexus/ |
H A D | synth_nexus.cc | 108 string top_opt, json_file, vm_file, family; member 113 top_opt = "-auto-top"; in clear_flags() 139 top_opt = "-top " + args[++argidx]; in execute() 257 run(stringf("hierarchy -check %s", help_mode ? "-top <top>" : top_opt.c_str())); in script()
|
/dports/cad/yosys/yosys-yosys-0.12/techlibs/ecp5/ |
H A D | synth_ecp5.cc | 112 string top_opt, blif_file, edif_file, json_file; member 117 top_opt = "-auto-top"; in clear_flags() 145 top_opt = "-top " + args[++argidx]; in execute() 247 run(stringf("hierarchy -check %s", help_mode ? "-top <top>" : top_opt.c_str())); in script()
|
/dports/cad/yosys/yosys-yosys-0.12/techlibs/ice40/ |
H A D | synth_ice40.cc | 118 string top_opt, blif_file, edif_file, json_file, device_opt; member 124 top_opt = "-auto-top"; in clear_flags() 152 top_opt = "-top " + args[++argidx]; in execute() 277 run(stringf("hierarchy -check %s", help_mode ? "-top <top>" : top_opt.c_str())); in script()
|
/dports/games/freecell-solver/freecell-solver-6.2.0/ |
H A D | delta_states_debondt_impl.h | 472 int top_opt; in fc_solve_delta_stater_decode() local 481 top_opt = CARD_STATE(top_card); in fc_solve_delta_stater_decode() 486 top_opt = -1; in fc_solve_delta_stater_decode() 490 (!((top_opt == OPT_TOPMOST) || (top_opt == orig_pos_opt)))) in fc_solve_delta_stater_decode()
|
/dports/cad/yosys/yosys-yosys-0.12/techlibs/xilinx/ |
H A D | synth_xilinx.cc | 140 std::string top_opt, edif_file, blif_file, family; member 150 top_opt = "-auto-top"; in clear_flags() 183 top_opt = "-top " + args[++argidx]; in execute() 344 run(stringf("hierarchy -check %s", top_opt.c_str())); in script()
|
/dports/games/freecell-solver/freecell-solver-6.2.0/t/lib/FC_Solve/DeltaStater/ |
H A D | DeBondt.pm | 718 my $top_opt = defined($top_card) 728 ( $top_opt == $OPT_TOPMOST ) 729 || ( $top_opt == $orig_pos_opt )
|
/dports/security/sequoia/sequoia-383133f6be990237044900a4df676488bf8dd71e/cargo-crates/lalrpop-0.17.2/src/lr1/codegen/ |
H A D | ascent.rs | 682 let top_opt = self in adjust_inputs() localVariable 710 if top_opt { in adjust_inputs()
|
/dports/games/abstreet/abstreet-0.2.9-49-g74aca40c0/cargo-crates/lalrpop-0.16.3/src/lr1/codegen/ |
H A D | ascent.rs | 623 let top_opt = self in adjust_inputs() localVariable 651 if top_opt { in adjust_inputs()
|
/dports/net/krill/krill-0.9.4/cargo-crates/lalrpop-0.19.6/src/lr1/codegen/ |
H A D | ascent.rs | 685 let top_opt = self in adjust_inputs() localVariable 713 if top_opt { in adjust_inputs()
|