Home
last modified time | relevance | path

Searched refs:vec_work (Results 1 – 11 of 11) sorted by relevance

/dports/science/cp2k/cp2k-2e995eec7fd208c8a72d9544807bd8b8ba8cd1cc/src/
H A Dxas_tdp_utils.F1371 CALL cp_fm_create(vec_work, vec_struct)
1375 CALL cp_dbcsr_sm_fm_multiply(orb_soc_z, mo_coeff, vec_work, ncol=homo)
1380 CALL cp_fm_release(vec_work)
1392 CALL cp_fm_create(vec_work, vec_struct)
1401 CALL cp_fm_release(vec_work)
2131 CALL cp_fm_create(vec_work, vec_struct)
2145 CALL cp_fm_release(vec_work)
2157 CALL cp_fm_create(vec_work, vec_struct)
2171 CALL cp_fm_release(vec_work)
2186 CALL cp_fm_create(vec_work, vec_struct) !for op*|phi>
[all …]
/dports/devel/p5-Date-Pcalc/Date-Pcalc-6.1/examples/
H A Dincome.pl59 $work = $Year->vec_work(); # workspace
/dports/science/cp2k-data/cp2k-7.1.0/src/
H A Dxas_tdp_utils.F2388 CALL cp_fm_create(vec_work, vec_struct)
2392 CALL cp_dbcsr_sm_fm_multiply(orb_soc_z, mo_coeff, vec_work, ncol=homo)
2397 CALL cp_fm_release(vec_work)
2409 CALL cp_fm_create(vec_work, vec_struct)
2418 CALL cp_fm_release(vec_work)
3148 CALL cp_fm_create(vec_work, vec_struct)
3162 CALL cp_fm_release(vec_work)
3174 CALL cp_fm_create(vec_work, vec_struct)
3188 CALL cp_fm_release(vec_work)
3203 CALL cp_fm_create(vec_work, vec_struct) !for op*|phi>
[all …]
/dports/devel/p5-Date-Pcalc/Date-Pcalc-6.1/lib/Date/Pcalendar/
H A DYear.pm287 sub vec_work # work space subroutine
679 return $self->vec_work->bit_test( $self->date2index(@date) );
H A DYear.pod35 $vector = $year->vec_work(); # NOT a vector of workdays but a workspace!
198 by the methods "vec_full()", "vec_half()" and "vec_work()".
549 C<$vector = $year-E<gt>vec_work();>
831 "vec_work()", described further above in this document.
835 through either "C<$days = $year-E<gt>vec_work-E<gt>Size();>"
/dports/devel/p5-Date-Calc/Date-Calc-6.4/lib/Date/Calendar/
H A DYear.pm287 sub vec_work # work space subroutine
679 return $self->vec_work->bit_test( $self->date2index(@date) );
H A DYear.pod35 $vector = $year->vec_work(); # NOT a vector of workdays but a workspace!
198 by the methods "vec_full()", "vec_half()" and "vec_work()".
549 C<$vector = $year-E<gt>vec_work();>
831 "vec_work()", described further above in this document.
835 through either "C<$days = $year-E<gt>vec_work-E<gt>Size();>"
/dports/devel/p5-Date-Pcalc/Date-Pcalc-6.1/
H A DPcalendar.pm285 return $year->vec_work->bit_test( $year->date2index(@date) );
H A DPcalendar.pod243 and "vec_work()".
541 $vec_work = $calendar->year($year)->vec_work();
545 through either "C<$days = $vec_work-E<gt>Size();>" or
/dports/devel/p5-Date-Calc/Date-Calc-6.4/lib/Date/
H A DCalendar.pm285 return $year->vec_work->bit_test( $year->date2index(@date) );
H A DCalendar.pod243 and "vec_work()".
541 $vec_work = $calendar->year($year)->vec_work();
545 through either "C<$days = $vec_work-E<gt>Size();>" or