Home
last modified time | relevance | path

Searched +refs:vhdl +refs:add +refs:syntax (Results 1 – 25 of 1764) sorted by relevance

12345678910>>...71

/dports/cad/ghdl/ghdl-1.0.0/doc/using/
H A DCommandReference.rst77 .. index:: vhdl to html
84 The files are just scanned and an html file with syntax highlighting is generated on standard outpu…
106 …n, a package declaration, or a configuration the file name is :file:`NAME.vhdl`, where `NAME` is t…
107 * For a package body, the filename is :file:`NAME-body.vhdl`.
108 …inally, for an architecture `ARCH` of an entity `ENTITY`, the filename is :file:`ENTITY-ARCH.vhdl`.
110 Since the input files are parsed, this command aborts in case of syntax error. The command aborts t…
132 …Outputs an XML representation of the decorated syntax tree for the input file and its dependencies…
171 …table and additional arguments for the linker. This command is intended to add object files in a l…
H A DInvokingGHDL.rst53 numbers, use: ``ghdl -a -g my_design.vhdl``.
132 .. index:: cmd checking syntax
134 Check syntax [``-s``]
223 add the ``-g`` debugging option).
351 the vhdl standard).
655 Make a local copy of an existing library. This is very useful if you want to add units to the ``iee…
736 Display the library directory added by the link flags, forcing UNIX syntax.
793 ghdl -a --ieee=synopsys bad_counter.vhdl
794 bad_counter.vhdl:13:14: operator "=" is overloaded
795 bad_counter.vhdl:13:14: possible interpretations are:
[all …]
/dports/cad/ghdl/ghdl-1.0.0/doc/internals/
H A DAST.rst17 The tree is a syntax tree because it follows the grammar of the VHDL language: there
22 … abstraction of the source file. Comments and layout aren't kept in the syntax tree. Furthermore…
45 The GHDL AST is described in file :file:`vhdl-nodes.ads`.
91 :file:`vhdl-nodes_meta.ads`. There is the list of all attribute types
98 node. The dumper (in file :file:`vhdl-disp_tree.ad[sb]`) is used to
104 don't need to modify the dumper if you add a node.
107 the pass to create instances is a good one. When a vhdl-2008 package is instantiated,
111 The code to create instances is in files :file:`vhdl-sem_inst.ad[sb]`.
130 .. code-block:: vhdl
/dports/editors/emacs-devel/emacs-4d1968b/lisp/
H A DChangeLog.108 (c-add-stmt-syntax, c-lineup-arglist)
900 * progmodes/cc-engine.el (c-add-stmt-syntax, c-guess-basic-syntax):
977 * progmodes/cc-engine.el (c-add-stmt-syntax): Fix some cases
5047 (vhdl-add-modified-file, vhdl-align-buffer, vhdl-align-declarations)
5100 (vhdl-append, vhdl-add-warning, vhdl-align-noindent-buffer)
5120 (vhdl-ext-syntax-table): Macro deleted.
5128 (vhdl-indent-syntax-based, vhdl-makefile-generation-hook)
5144 (vhdl-mode-ext-syntax-table, vhdl-modified-file-list)
16107 * progmodes/cc-engine.el (c-add-stmt-syntax)
16263 (c-looking-at-inexpr-block, c-add-stmt-syntax)
[all …]
H A DChangeLog.171502 (vhdl-mode-syntax-table): Support VHDL'08 block comments.
1511 (vhdl-in-comment-p, vhdl-in-literal, vhdl-win-il)
1516 (vhdl-libunit-re, vhdl-defun-re, vhdl-begin-p)
4366 (vhdl-aput, vhdl-adelete, vhdl-aget): Simplify.
6030 syntax, add new keywords, and parse longer keywords first.
11715 (vhdl-asort, vhdl-anot-head-p, vhdl-aput, vhdl-adelete, vhdl-aget):
11723 (vhdl-error-regexp-add-emacs): Remove all other compilers,
24372 (vhdl-update-sensitivity-list): Not add with index if exists without.
24384 (vhdl-error-regexp-add-emacs): New function;
24751 (vhdl-mode-syntax-table, vhdl-mode-ext-syntax-table): Initialize in
[all …]
H A DChangeLog.12117 (vhdl-template-break, vhdl-regress-line, vhdl-electric-tab):
16304 (c-add-class-syntax, c-guess-continued-construct)
16327 (c-add-class-syntax): Don't narrow out the enclosing declaration
16598 to `c-add-stmt-syntax' - there's no need to explicitly whack off
16601 * progmodes/cc-engine.el (c-add-stmt-syntax): Narrow down the
16605 (c-add-stmt-syntax): Use `c-recognize-paren-inexpr-blocks'.
16611 * progmodes/cc-engine.el (c-add-stmt-syntax): Restructure to make
16616 to `c-add-stmt-syntax'.
17453 (add-completions-from-c-buffer): Use with-syntax-table.
21154 (vhdl-font-lock-translate-off-face, syntax-alist): Remove group
[all …]
H A DChangeLog.81535 * progmodes/vhdl-mode.el: Use new backquote syntax.
4952 * progmodes/vhdl-mode.el (vhdl-port-copy): Fix parsing bug.
8905 * progmodes/vhdl-mode.el
8906 (vhdl-add-index-menu): Reverse order in imenu-generic-expression.
8907 (vhdl-template-assert, vhdl-block, vhdl-configuration-spec)
8908 (vhdl-elsif, vhdl-generate, vhdl-if, vhdl-map)
8909 (vhdl-selected-signal-assignment, vhdl-use, vhdl-while-loop)
8910 (vhdl-get-port, vhdl-get-generic): Replace (undo 0) by (undo).
8912 (vhdl-outer-space): Add "_" to syntax table for expand-abbrev.
8913 (vhdl-get-port, vhdl-get-generic): Bug fix in template.
[all …]
/dports/editors/emacs/emacs-27.2/lisp/
H A DChangeLog.108 (c-add-stmt-syntax, c-lineup-arglist)
900 * progmodes/cc-engine.el (c-add-stmt-syntax, c-guess-basic-syntax):
977 * progmodes/cc-engine.el (c-add-stmt-syntax): Fix some cases
5047 (vhdl-add-modified-file, vhdl-align-buffer, vhdl-align-declarations)
5100 (vhdl-append, vhdl-add-warning, vhdl-align-noindent-buffer)
5120 (vhdl-ext-syntax-table): Macro deleted.
5128 (vhdl-indent-syntax-based, vhdl-makefile-generation-hook)
5144 (vhdl-mode-ext-syntax-table, vhdl-modified-file-list)
16107 * progmodes/cc-engine.el (c-add-stmt-syntax)
16263 (c-looking-at-inexpr-block, c-add-stmt-syntax)
[all …]
H A DChangeLog.171502 (vhdl-mode-syntax-table): Support VHDL'08 block comments.
1511 (vhdl-in-comment-p, vhdl-in-literal, vhdl-win-il)
1516 (vhdl-libunit-re, vhdl-defun-re, vhdl-begin-p)
4366 (vhdl-aput, vhdl-adelete, vhdl-aget): Simplify.
6030 syntax, add new keywords, and parse longer keywords first.
11715 (vhdl-asort, vhdl-anot-head-p, vhdl-aput, vhdl-adelete, vhdl-aget):
11723 (vhdl-error-regexp-add-emacs): Remove all other compilers,
24372 (vhdl-update-sensitivity-list): Not add with index if exists without.
24384 (vhdl-error-regexp-add-emacs): New function;
24751 (vhdl-mode-syntax-table, vhdl-mode-ext-syntax-table): Initialize in
[all …]
H A DChangeLog.12117 (vhdl-template-break, vhdl-regress-line, vhdl-electric-tab):
16304 (c-add-class-syntax, c-guess-continued-construct)
16327 (c-add-class-syntax): Don't narrow out the enclosing declaration
16598 to `c-add-stmt-syntax' - there's no need to explicitly whack off
16601 * progmodes/cc-engine.el (c-add-stmt-syntax): Narrow down the
16605 (c-add-stmt-syntax): Use `c-recognize-paren-inexpr-blocks'.
16611 * progmodes/cc-engine.el (c-add-stmt-syntax): Restructure to make
16616 to `c-add-stmt-syntax'.
17453 (add-completions-from-c-buffer): Use with-syntax-table.
21154 (vhdl-font-lock-translate-off-face, syntax-alist): Remove group
[all …]
H A DChangeLog.81535 * progmodes/vhdl-mode.el: Use new backquote syntax.
4952 * progmodes/vhdl-mode.el (vhdl-port-copy): Fix parsing bug.
8905 * progmodes/vhdl-mode.el
8906 (vhdl-add-index-menu): Reverse order in imenu-generic-expression.
8907 (vhdl-template-assert, vhdl-block, vhdl-configuration-spec)
8908 (vhdl-elsif, vhdl-generate, vhdl-if, vhdl-map)
8909 (vhdl-selected-signal-assignment, vhdl-use, vhdl-while-loop)
8910 (vhdl-get-port, vhdl-get-generic): Replace (undo 0) by (undo).
8912 (vhdl-outer-space): Add "_" to syntax table for expand-abbrev.
8913 (vhdl-get-port, vhdl-get-generic): Bug fix in template.
[all …]
/dports/textproc/hs-pandoc-crossref/pandoc-crossref-0.3.12.0/_cabal_deps/skylighting-core-0.10.4/
H A Dchangelog.md23 * Reimplement PR #40 and add haskell.xml.patch (#116).
32 verilog vhdl.
82 toml, verilog, vhdl, xml, xorg, xslt, xul, yacc, yaml, zsh.
89 * Add groovy syntax.
192 sql-postgresql typescript vhdl xml yacc yaml.
206 syntax modules.
229 powershell, qml, rest, rust, typescript, vhdl.
283 use HlCChar: fasm eiffel pike objectivec ruby vhdl scala
370 tcl, vhdl, xml, xul, yaml, zsh.
518 * skylighting binary: add doctype to generated HTML.
[all …]
/dports/textproc/hs-pandoc-crossref/pandoc-crossref-0.3.12.0/_cabal_deps/skylighting-0.10.4/
H A Dchangelog.md23 * Reimplement PR #40 and add haskell.xml.patch (#116).
32 verilog vhdl.
82 toml, verilog, vhdl, xml, xorg, xslt, xul, yacc, yaml, zsh.
89 * Add groovy syntax.
192 sql-postgresql typescript vhdl xml yacc yaml.
206 syntax modules.
229 powershell, qml, rest, rust, typescript, vhdl.
283 use HlCChar: fasm eiffel pike objectivec ruby vhdl scala
370 tcl, vhdl, xml, xul, yaml, zsh.
518 * skylighting binary: add doctype to generated HTML.
[all …]
/dports/textproc/hs-pandoc/pandoc-2.14.2/_cabal_deps/skylighting-0.11/
H A Dchangelog.md16 syntax definition.
87 * Reimplement PR #40 and add haskell.xml.patch (#116).
96 verilog vhdl.
146 toml, verilog, vhdl, xml, xorg, xslt, xul, yacc, yaml, zsh.
256 sql-postgresql typescript vhdl xml yacc yaml.
270 syntax modules.
293 powershell, qml, rest, rust, typescript, vhdl.
347 use HlCChar: fasm eiffel pike objectivec ruby vhdl scala
434 tcl, vhdl, xml, xul, yaml, zsh.
582 * skylighting binary: add doctype to generated HTML.
[all …]
/dports/textproc/hs-pandoc/pandoc-2.14.2/_cabal_deps/skylighting-core-0.11/
H A Dchangelog.md16 syntax definition.
87 * Reimplement PR #40 and add haskell.xml.patch (#116).
96 verilog vhdl.
146 toml, verilog, vhdl, xml, xorg, xslt, xul, yacc, yaml, zsh.
256 sql-postgresql typescript vhdl xml yacc yaml.
270 syntax modules.
293 powershell, qml, rest, rust, typescript, vhdl.
347 use HlCChar: fasm eiffel pike objectivec ruby vhdl scala
434 tcl, vhdl, xml, xul, yaml, zsh.
582 * skylighting binary: add doctype to generated HTML.
[all …]
/dports/net-im/matterhorn/matterhorn-50200.13.0/_cabal_deps/skylighting-core-0.8.5/
H A Dchangelog.md15 * Update syntax descriptions for javascript, bash, coffee,
30 sql-postgresql typescript vhdl xml yacc yaml.
44 syntax modules.
67 powershell, qml, rest, rust, typescript, vhdl.
121 use HlCChar: fasm eiffel pike objectivec ruby vhdl scala
208 tcl, vhdl, xml, xul, yaml, zsh.
212 * Add 'default.xml' to syntax descriptions.
218 * Update syntax definitions from upstream.
219 * Added support for POV-Ray syntax (#46).
356 * skylighting binary: add doctype to generated HTML.
[all …]
/dports/cad/ghdl/ghdl-1.0.0/
H A DNEWS.md155 - add a missing case array conversion.
315 - syntax checking command, `-s`, added.
319 - `-s` (syntax check) command added.
345 - alias identifier restrictions of vhdl-02 implemented.
346 - declarative region for architecture from vhdl-02 implemented.
347 - buffer port association rules of vhdl-02 implemented.
348 - method operator restrictions of vhdl-02 implemented.
459 - postponed handled (vhdl 93).
460 - declarations allowed in a generate statement (vhdl 93).
488 - unaffected (from vhdl-93) implemented.
/dports/editors/neovim/neovim-0.6.1/runtime/syntax/
H A Dspecman.vim1 " Vim syntax file
12 " Remove any old syntax stuff hanging around
13 " quit when a syntax file was already loaded
30 syn keyword specmanMethod init run copy as_a set_config dut_error add clear lock quit
68 syn keyword specmanDefine verilog vhdl variable global sys
/dports/editors/vim/vim-8.2.3745/runtime/syntax/
H A Dspecman.vim1 " Vim syntax file
12 " Remove any old syntax stuff hanging around
13 " quit when a syntax file was already loaded
30 syn keyword specmanMethod init run copy as_a set_config dut_error add clear lock quit
68 syn keyword specmanDefine verilog vhdl variable global sys
/dports/x11-toolkits/gtksourceview4/gtksourceview-4.8.1/po/
H A Dsq.po24 msgid "Escaping character for syntax patterns"
41 msgid "Whether to highlight syntax in the buffer"
106 msgid "Whether to print the document with highlighted syntax"
229 #. because it fails for let* etc. So we need to manually add it to the
246 #: ../gtksourceview/language-specs/vhdl.lang.h:2
293 #: ../gtksourceview/language-specs/vhdl.lang.h:6
459 #: ../gtksourceview/language-specs/vhdl.lang.h:1
498 #: ../gtksourceview/language-specs/vhdl.lang.h:3
512 #: ../gtksourceview/language-specs/vhdl.lang.h:4
535 #: ../gtksourceview/language-specs/vhdl.lang.h:5
[all …]
H A Dmg.po23 msgid "Escaping character for syntax patterns"
40 msgid "Whether to highlight syntax in the buffer"
104 msgid "Whether to print the document with highlighted syntax"
226 #. because it fails for let* etc. So we need to manually add it to the
243 #: ../gtksourceview/language-specs/vhdl.lang.h:2
290 #: ../gtksourceview/language-specs/vhdl.lang.h:6
452 #: ../gtksourceview/language-specs/vhdl.lang.h:1
492 #: ../gtksourceview/language-specs/vhdl.lang.h:3
507 #: ../gtksourceview/language-specs/vhdl.lang.h:4
532 #: ../gtksourceview/language-specs/vhdl.lang.h:5
[all …]
/dports/x11-toolkits/gtksourceview2/gtksourceview-2.10.5/po/
H A Dmg.po22 msgid "Escaping character for syntax patterns"
39 msgid "Whether to highlight syntax in the buffer"
103 msgid "Whether to print the document with highlighted syntax"
225 #. because it fails for let* etc. So we need to manually add it to the
242 #: ../gtksourceview/language-specs/vhdl.lang.h:2
289 #: ../gtksourceview/language-specs/vhdl.lang.h:6
451 #: ../gtksourceview/language-specs/vhdl.lang.h:1
491 #: ../gtksourceview/language-specs/vhdl.lang.h:3
506 #: ../gtksourceview/language-specs/vhdl.lang.h:4
531 #: ../gtksourceview/language-specs/vhdl.lang.h:5
[all …]
H A Dsq.po23 msgid "Escaping character for syntax patterns"
40 msgid "Whether to highlight syntax in the buffer"
105 msgid "Whether to print the document with highlighted syntax"
228 #. because it fails for let* etc. So we need to manually add it to the
245 #: ../gtksourceview/language-specs/vhdl.lang.h:2
292 #: ../gtksourceview/language-specs/vhdl.lang.h:6
458 #: ../gtksourceview/language-specs/vhdl.lang.h:1
497 #: ../gtksourceview/language-specs/vhdl.lang.h:3
511 #: ../gtksourceview/language-specs/vhdl.lang.h:4
534 #: ../gtksourceview/language-specs/vhdl.lang.h:5
[all …]
/dports/cad/electric/electric-7.00/lib/international/
H A Dmessages.po8030 msgid "No current facet to add"
8259 msgid "Cannot add an old version of the facet"
8271 msgid "Cannot add project record"
10160 msgid ", would add %ld wires if 'ports must match' were off"
11645 msgid "ERROR: String '%s' invalid syntax"
11775 msgid "Signal to add"
12571 msgid "overlay selected network, add to current frame"
12607 msgid "add signal to simulation window in a new frame"
12885 msgid "Nothing plotted: type 'a' to add a signal"
15581 msgid "Can only add OR delete OR move OR change point"
[all …]
/dports/cad/ghdl/ghdl-1.0.0/doc/
H A Dghdl.texi156 * Check syntax [-s]::
312 @anchor{about intro-vhdl}@anchor{4}@anchor{about what-is-vhdl}@anchor{5}
1302 * Check syntax [-s]::
1431 @geindex cmd checking syntax
1435 @subsection Check syntax [@code{-s}]
1715 the vhdl standard).
3126 $ ghdl -a design.vhdl
3283 $ ghdl -a counter.vhdl
4026 $ make libs.vhdl.local_gcc # Compile the vhdl libraries
4871 @geindex vhdl to html
[all …]

12345678910>>...71