Home
last modified time | relevance | path

Searched +refs:vhdl +refs:types (Results 1 – 25 of 1719) sorted by relevance

12345678910>>...69

/dports/cad/freehdl/freehdl-0.0.7/freehdl/
H A DChangeLog13 * std-vhdl-types.hh: Fixed an off-by-one error during checking
22 * std-vhdl-types.hh: templates for shift operators on arrays
47 * std-vhdl-types.hh (array_alias): problem with members info an
65 * std-vhdl-types.hh (array_type<E>::cleanup_instance(),
101 * std-vhdl-types.cc: added function op_abs for integer, physical
114 std-vhdl-types.hh, std.h, vaul-lexer.h, vaul-printer.h,
201 * std-vhdl-types.hh: template functions for predefined array
239 * std-vhdl-types.hh: Added missing support code for record types.
257 * std-vhdl-types.hh (record_type::cleanup_instance): Use
325 std-vhdl-types.hh: resolved types are now registered
[all …]
H A DMakefile.am6 freehdl_HEADERS = fire-types.h \
23 vaul-types.h \
69 std-vhdl-types.hh \
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/ticket89/x_ieee_proposed/src/
H A DREADME9 standard_additions_c.vhdl
10 env_c.vhdl
13 numeric_std_additions.vhdl
15 fixed_pkg_c.vhdl
16 float_pkg_c.vhdl
29 New types defined in this package:
46 7) to_string function - Converts any of the base types into a string.
101 "std_ulogic_vector" types into a string.
144 17) to_string function - Converts a "SIGNED" or "UNSIGNED" types into a
163 http://www.vhdl.org/vhdl-200x/vhdl-200x-ft/packages/Fixed_ug.pdf [DEAD LINK]
[all …]
/dports/editors/kakoune/kakoune-2021.11.08/rc/filetype/
H A Dvhdl.kak5 set-option buffer filetype vhdl
10 require-module vhdl
12 hook -group vhdl-indent window InsertChar \n vhdl-indent-on-new-line
13 hook -group vhdl-indent window InsertChar \) vhdl-indent-on-closing-parenthesis
14 hook -group vhdl-insert window InsertChar \n vhdl-insert-on-new-line
20 hook -group vhdl-highlight global WinSetOption filetype=vhdl %[
21 add-highlighter window/vhdl ref vhdl
25 provide-module vhdl
94 types="
127 …ts} ${predefined_attributes} ${libraries} ${packages} ${reserved_words} ${types} ${functions}" ' '…
[all …]
/dports/cad/ghdl/ghdl-1.0.0/pyGHDL/lsp/
H A Dreferences.py2 import pyGHDL.libghdl.vhdl.nodes as nodes
3 import pyGHDL.libghdl.vhdl.nodes_meta as nodes_meta
55 if typ == nodes_meta.types.Iir:
70 elif typ == nodes_meta.types.Iir_List:
77 elif typ == nodes_meta.types.Iir_Flist:
/dports/cad/ghdl/ghdl-1.0.0/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/
H A Dtc1990.vhd39 file f1 : ft is "01.vhdl";
40 file f2 : ft is "02.vhdl";
44 NULL; -- not defined for file types.
47 …t "***FAILED TEST: c07s02b02x00p07n01i01990 - Inequality operators are not defined for file types."
H A Dtc1989.vhd39 file f1 : ft is "01.vhdl";
40 file f2 : ft is "02.vhdl";
44 NULL; -- not defined for file types.
47 …ort "***FAILED TEST: c07s02b02x00p07n01i01989 - Equality operators are not defined for file types."
/dports/cad/ghdl/ghdl-1.0.0/doc/internals/
H A DAST.rst45 The GHDL AST is described in file :file:`vhdl-nodes.ads`.
72 The meta-model describes the types of the attributes: most of them are
86 their types is static and each list is a list of the same element type.
91 :file:`vhdl-nodes_meta.ads`. There is the list of all attribute types
98 node. The dumper (in file :file:`vhdl-disp_tree.ad[sb]`) is used to
101 a boolean and the various other enumerated types, and how to display a list. To
107 the pass to create instances is a good one. When a vhdl-2008 package is instantiated,
109 are possibly new types). And creating an instance using the meta-model is much
111 The code to create instances is in files :file:`vhdl-sem_inst.ad[sb]`.
130 .. code-block:: vhdl
/dports/cad/ghdl/ghdl-1.0.0/
H A DMakefile.in141 libs: libs.vhdl.$(backend)
187 all.mcode: ghdl_mcode$(EXEEXT) libs.vhdl.mcode all.vpi
199 libs.vhdl.mcode: ghdl_mcode$(EXEEXT)
222 gcc_vhdl_dir=$(gcc_src_dir)/gcc/vhdl
236 $(CP) -p $(srcdir)/src/vhdl/*.ad? $(gcc_vhdl_dir)
241 $(CP) -p $(srcdir)/src/grt/grt-types.ads $(gcc_vhdl_dir)
284 libs.vhdl.gcc:
310 libs.vhdl.local_gcc: ghdl_gcc$(EXEEXT) ghdl1-gcc$(EXEEXT)
344 libs.vhdl.llvmjit: ghdl_llvm_jit$(EXEEXT)
347 libs.vhdl.llvm: ghdl_llvm$(EXEEXT) ghdl1-llvm$(EXEEXT)
[all …]
H A DNEWS.md204 - handle `'image` for floating point types.
270 - spurious unused warnings for protected types.
345 - alias identifier restrictions of vhdl-02 implemented.
346 - declarative region for architecture from vhdl-02 implemented.
347 - buffer port association rules of vhdl-02 implemented.
348 - method operator restrictions of vhdl-02 implemented.
459 - postponed handled (vhdl 93).
460 - declarations allowed in a generate statement (vhdl 93).
476 - incomplete types
488 - unaffected (from vhdl-93) implemented.
[all …]
/dports/textproc/the_silver_searcher/the_silver_searcher-2.2.0/tests/
H A Dlist_file_types.t5 Language types are output:
7 $ ag --list-file-types
8 The following file types are supported:
369 --vhdl
370 .vhd .vhdl
/dports/cad/ghdl/ghdl-1.0.0/pyGHDL/libghdl/
H A Dutils.py43 import pyGHDL.libghdl.vhdl.nodes as nodes
44 import pyGHDL.libghdl.vhdl.nodes_meta as nodes_meta
45 import pyGHDL.libghdl.vhdl.lists as lists
46 import pyGHDL.libghdl.vhdl.flists as flists
68 @EnumLookupTable(nodes_meta.types)
131 if typ == nodes_meta.types.Iir:
146 elif typ == nodes_meta.types.Iir_List:
152 elif typ == nodes_meta.types.Iir_Flist:
/dports/cad/freehdl/freehdl-0.0.7/kernel/
H A DChangeLog61 access and vhdl file types.
75 * std-vhdl-types.hh, vhdl_types.cc (type_info_interface): method
79 * std-vhdl-types.hh (array_type::init): method extended to handle
88 function now also handles record types.
/dports/cad/ghdl/ghdl-1.0.0/doc/using/
H A DImplementationOfVHDL.rst59 Shared variables were replaced by protected types in the 2000 revision of
87 Select VHDL-2000 standard, which adds protected types.
174 ghdl -a -fpsl vhdl_design.vhdl
196 ghdl -a --std=08 vhdl_design.vhdl
217 to. You have access to all objects (ports, types, signals) of the
226 ghdl -a --std=08 vhdl_design.vhdl vunit.psl
232 ghdl --synth --std=08 vhdl_design.vhdl vunit.psl -e vhdl_design
/dports/editors/jupp/jupp/
H A DMakefile.am47 syntax/verilog.jsf syntax/vhdl.jsf syntax/xml.jsf
57 tw.h types.h ublock.h uedit.h uerror.h ufile.h uformat.h \
109 …n.jsf syntax/sh.jsf syntax/tcl.jsf syntax/tex.jsf syntax/verilog.jsf syntax/vhdl.jsf syntax/xml.jsf
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue406/
H A Dqueuep.vhdl26 -- inspired by noasic article http://noasic.com/blog/a-simple-fifo-using-vhdl-protected-types/
/dports/cad/ghdl/ghdl-1.0.0/testsuite/vests/vhdl-93/billowitch/disputed/
H A DREADME7 types and real and integer
8 [3]tc2568.vhd : synopsys problem with universal real types, addition and
17 [9]tc232.vhdl : synopsys reports the following error : "Numeric type
/dports/textproc/py-pss/pss-1.43/
H A DCHANGES6 - Added new types: QML, TypeScript, go.mod, Toml, Dockerfile.
17 - Added new types: JSX, TypeScript, SCSS, R, Jinja2, Terraform, Dart
24 - Added --julia, --vhdl and --verilog types (pull requests #29, #30).
25 - Added support for Bazel types (BUILD, .bzl), Clojure, Markdown, Protobuf.
32 - Added new types: CUDA (.cu), OpenCL (.cl)
37 special option for pss to dump the list of types for it.
61 - Fixed the output of --help-types to describe file patterns more faithfully.
106 - Issue #3: Allow specifying file types using patterns, not just extensions.
107 Also adds new types: make, rake, cmake.
/dports/cad/digital/Digital-0.27/src/main/dig/hdl/
H A DHowTo.md15 <command name="Export VHDL &amp; Start Vivado" requires="vhdl" timeout="0" filter="true">
19 <command name="Export VHDL" requires="vhdl" />
28 Up to now only `vhdl` and `verilog` is supported.
44 - `extension`: The file extension of the selected hdl. Either `.v` or `.vhdl`.
55 executed. But it has a `requires` tag, which means that in this case a vhdl file
162 in a way that either `clockGenerator.v` or `clockGenerator.vhdl` is used to
188 exists and the types are matching. So this piece of code runs without errors:
/dports/net-im/matterhorn/matterhorn-50200.13.0/_cabal_deps/skylighting-core-0.8.5/
H A Dchangelog.md30 sql-postgresql typescript vhdl xml yacc yaml.
67 powershell, qml, rest, rust, typescript, vhdl.
121 use HlCChar: fasm eiffel pike objectivec ruby vhdl scala
208 tcl, vhdl, xml, xul, yaml, zsh.
269 + In `Skylighting.Types`, new types `ANSIColorLevel` and
322 * Changes to Style types and JSON instances. Previously we could not
396 sql-postgresql, sql, tcl, tcsh, verilog, vhdl, xml, xslt, xul, zsh.
410 * Added ToJSON/FromJSON instances for all basic types.
489 * Restore Data, Typeable, Generic instances for basic types.
/dports/textproc/hs-pandoc-crossref/pandoc-crossref-0.3.12.0/_cabal_deps/skylighting-core-0.10.4/
H A Dchangelog.md32 verilog vhdl.
82 toml, verilog, vhdl, xml, xorg, xslt, xul, yacc, yaml, zsh.
192 sql-postgresql typescript vhdl xml yacc yaml.
229 powershell, qml, rest, rust, typescript, vhdl.
283 use HlCChar: fasm eiffel pike objectivec ruby vhdl scala
370 tcl, vhdl, xml, xul, yaml, zsh.
431 + In `Skylighting.Types`, new types `ANSIColorLevel` and
484 * Changes to Style types and JSON instances. Previously we could not
558 sql-postgresql, sql, tcl, tcsh, verilog, vhdl, xml, xslt, xul, zsh.
572 * Added ToJSON/FromJSON instances for all basic types.
[all …]
/dports/textproc/hs-pandoc-crossref/pandoc-crossref-0.3.12.0/_cabal_deps/skylighting-0.10.4/
H A Dchangelog.md32 verilog vhdl.
82 toml, verilog, vhdl, xml, xorg, xslt, xul, yacc, yaml, zsh.
192 sql-postgresql typescript vhdl xml yacc yaml.
229 powershell, qml, rest, rust, typescript, vhdl.
283 use HlCChar: fasm eiffel pike objectivec ruby vhdl scala
370 tcl, vhdl, xml, xul, yaml, zsh.
431 + In `Skylighting.Types`, new types `ANSIColorLevel` and
484 * Changes to Style types and JSON instances. Previously we could not
558 sql-postgresql, sql, tcl, tcsh, verilog, vhdl, xml, xslt, xul, zsh.
572 * Added ToJSON/FromJSON instances for all basic types.
[all …]
/dports/textproc/hs-pandoc/pandoc-2.14.2/_cabal_deps/skylighting-0.11/
H A Dchangelog.md96 verilog vhdl.
146 toml, verilog, vhdl, xml, xorg, xslt, xul, yacc, yaml, zsh.
256 sql-postgresql typescript vhdl xml yacc yaml.
293 powershell, qml, rest, rust, typescript, vhdl.
347 use HlCChar: fasm eiffel pike objectivec ruby vhdl scala
434 tcl, vhdl, xml, xul, yaml, zsh.
495 + In `Skylighting.Types`, new types `ANSIColorLevel` and
548 * Changes to Style types and JSON instances. Previously we could not
622 sql-postgresql, sql, tcl, tcsh, verilog, vhdl, xml, xslt, xul, zsh.
636 * Added ToJSON/FromJSON instances for all basic types.
[all …]
/dports/textproc/hs-pandoc/pandoc-2.14.2/_cabal_deps/skylighting-core-0.11/
H A Dchangelog.md96 verilog vhdl.
146 toml, verilog, vhdl, xml, xorg, xslt, xul, yacc, yaml, zsh.
256 sql-postgresql typescript vhdl xml yacc yaml.
293 powershell, qml, rest, rust, typescript, vhdl.
347 use HlCChar: fasm eiffel pike objectivec ruby vhdl scala
434 tcl, vhdl, xml, xul, yaml, zsh.
495 + In `Skylighting.Types`, new types `ANSIColorLevel` and
548 * Changes to Style types and JSON instances. Previously we could not
622 sql-postgresql, sql, tcl, tcsh, verilog, vhdl, xml, xslt, xul, zsh.
636 * Added ToJSON/FromJSON instances for all basic types.
[all …]
/dports/editors/neovim/neovim-0.6.1/runtime/syntax/
H A Dhaste.vim4 " Credits: some parts have been taken from vhdl, verilog, and C syntax
55 " Predifined Haste types
58 " Values for standard Haste types

12345678910>>...69