Home
last modified time | relevance | path

Searched refs:x_ (Results 1 – 25 of 4157) sorted by relevance

12345678910>>...167

/dports/textproc/mdocml/mandoc-1.14.5/regress/mdoc/Bk/
H A Dsynopsis.out_ascii10 …xxxxxxxxxx(_x_x_x_x_x_x_x_x_x_x_x_x_x_x_x_x_x_x_x_x _x_x_x_x_x_x_x…
11 _x_x_x_x_x_x_x_x_x_x_x_x_x_x_x_x_x_x_x_x);
13 …xxxxxxxxxx(_x_x_x_x_x_x_x_x_x_x_x_x_x_x_x_x_x_x_x_x _x_x_x_x_x_x_x…
14 _x_x_x_x_x_x_x_x_x_x_x_x_x_x_x_x_x_x_x_x);
H A Dbreak.out_ascii25 …xxxxxxxxxxxxxxxxxxxxxxxxxxxxxx(_x_x_x_x_x_x_x_x_x_x_x_x_x_x_x_x_x_
26 …_x_x_x_x_x_x_x_x_x_x_x_x_x_x_x_x_x_x_x_x, _x_x_x_x_x_x_x_x_x_x_x_x…
28x_x_x_x_x_x_x_x_x_x_x_x_x_x_x_x_x_x_x_x _x_x_x_x_x_x_x_x_x_x_x_x_x…
/dports/devel/isa-l/isa-l-2.30.0/igzip/aarch64/
H A Dhuffman_aarch64.h112 ldr x_\tmp0,[x_\str0,x_\match_length]
113 ldr x_\tmp1,[x_\str1,x_\match_length]
114 eor x_\tmp0,x_\tmp1,x_\tmp0
118 add x_\match_length,x_\match_length,x_\tmp0
134 csel x_\max_length,x_\max_length,x_\tmp0,ls
136 ldr x_\tmp0,[x_\str0,x_\match_length]
137 ldr x_\tmp1,[x_\str1,x_\match_length]
138 eor x_\tmp0,x_\tmp1,x_\tmp0
156 ldr x_\tmp0,[x_\str0,x_\match_length]
157 ldr x_\tmp1,[x_\str1,x_\match_length]
[all …]
/dports/biology/diamond/diamond-2.0.13/src/lib/alp/
H A Dnjn_function.hpp79 template <typename T> T bitsToNats (T x_) {return x_ * DoubleType::LN_2;} in bitsToNats() argument
80 template <typename T> T natsToBits (T x_) {return x_ / DoubleType::LN_2;} in natsToBits() argument
87 template <typename T> T log2 (T x_) {return log (x_) / DoubleType::LN_2;} in log2() argument
91 template <typename T> T max (T x_, T y_) {return x_ > y_ ? x_ : y_;} in max() argument
93 template <typename T> T min (T x_, T y_) {return x_ < y_ ? x_ : y_;} in min() argument
96 template <typename T> T signum (T x_) {return x_ > 0.0 ? 1.0 : (x_ == 0.0 ? 0.0 : -1.0);} in signum() argument
97 template <typename T> T heaviside (T x_) {return x_ < 0.0 ? 0.0 : 1.0;} in heaviside() argument
99 template <typename T> T psqrt (T x_) {return positive <T> (sqrt (x_));} in psqrt() argument
100 template <typename T> T square (T x_) {return x_ * x_;} in square() argument
104 if (x_ < xhi_) return x_; in bound()
[all …]
/dports/biology/mmseqs2/MMseqs2-13-45111/lib/alp/
H A Dnjn_function.hpp79 template <typename T> T bitsToNats (T x_) {return x_ * DoubleType::LN_2;} in bitsToNats() argument
80 template <typename T> T natsToBits (T x_) {return x_ / DoubleType::LN_2;} in natsToBits() argument
87 template <typename T> T log2 (T x_) {return log (x_) / DoubleType::LN_2;} in log2() argument
91 template <typename T> T max (T x_, T y_) {return x_ > y_ ? x_ : y_;} in max() argument
93 template <typename T> T min (T x_, T y_) {return x_ < y_ ? x_ : y_;} in min() argument
96 template <typename T> T signum (T x_) {return x_ > 0.0 ? 1.0 : (x_ == 0.0 ? 0.0 : -1.0);} in signum() argument
97 template <typename T> T heaviside (T x_) {return x_ < 0.0 ? 0.0 : 1.0;} in heaviside() argument
99 template <typename T> T psqrt (T x_) {return positive <T> (sqrt (x_));} in psqrt() argument
100 template <typename T> T square (T x_) {return x_ * x_;} in square() argument
104 if (x_ < xhi_) return x_; in bound()
[all …]
/dports/biology/ncbi-cxx-toolkit/ncbi_cxx--25_2_0/src/algo/blast/gumbel_params/
H A Dnjn_function.hpp93 template <typename T> T bitsToNats (T x_) {return x_ * DoubleType::LN_2;} in bitsToNats() argument
94 template <typename T> T natsToBits (T x_) {return x_ / DoubleType::LN_2;} in natsToBits() argument
101 template <typename T> T log2 (T x_) {return log (x_) / DoubleType::LN_2;} in log2() argument
105 template <typename T> T max (T x_, T y_) {return x_ > y_ ? x_ : y_;} in max() argument
107 template <typename T> T min (T x_, T y_) {return x_ < y_ ? x_ : y_;} in min() argument
110 template <typename T> T signum (T x_) {return x_ > 0.0 ? 1.0 : (x_ == 0.0 ? 0.0 : -1.0);} in signum() argument
111 template <typename T> T heaviside (T x_) {return x_ < 0.0 ? 0.0 : 1.0;} in heaviside() argument
113 template <typename T> T psqrt (T x_) {return positive <T> (sqrt (x_));} in psqrt() argument
114 template <typename T> T square (T x_) {return x_ * x_;} in square() argument
118 if (x_ < xhi_) return x_; in bound()
[all …]
/dports/cad/electric/electric-7.00/src/vhdl/
H A Dvhdlals.c71 x_("set p=H@3"), in vhdl_genals()
72 x_("t: delta=0"), in vhdl_genals()
78 x_("set g=L@3"), in vhdl_genals()
79 x_("t: delta=0"), in vhdl_genals()
86 x_("o: a1, a2"), in vhdl_genals()
94 x_("o: a1, a2"), in vhdl_genals()
102 x_("o: a1, a2"), in vhdl_genals()
110 x_("o: a1, a2"), in vhdl_genals()
121 x_("t: delta=0"), in vhdl_genals()
179 x_("i: d, clk"), in vhdl_genals()
[all …]
/dports/cad/electric/electric-7.00/src/sc/
H A Dsc1command.c46 0, x_(" \t"), M_("name of node a"), 0};
49 0, x_(" \t"), M_("port on node a"), 0};
67 0, x_(" \t"), M_("name of cell"), 0};
70 0, x_(" \t"), M_("instance name"), 0};
73 0, x_(" \t"), M_("instance type"), 0};
83 0, x_(" \t"), M_("create option"), 0};
95 0, x_(" \t"), M_("name of port"), 0};
106 0, x_(" \t"), M_("type of port"), 0};
360 0, x_(" \t"), M_("port name"), 0};
363 0, x_(" \t"), M_("node name"), 0};
[all …]
/dports/cad/electric/electric-7.00/src/usr/
H A Dusrcom.c709 return(x_("unknown")); in us_nextparse()
808 return(x_("NODE")); in us_nextparse()
813 return(x_("ARC")); in us_nextparse()
1226 INPUTOPT, x_(" \t"), M_("amount to highlight (0 to 1)"), x_("0.5")};
2002 INPUTOPT, x_(" \t"), M_("number of levels to pop out"), x_("1")};
2476 INPUTOPT, x_(" \t"), M_("red value"), x_("")};
2478 INPUTOPT, x_(" \t"), M_("green value"), x_("")};
2480 INPUTOPT, x_(" \t"), M_("blue value"), x_("")};
2482 INPUTOPT, x_(" \t"), M_("opacity value"), x_("")};
2490 INPUTOPT, x_(" \t"), M_("foreground value"), x_("")};
[all …]
/dports/cad/electric/electric-7.00/lib/
H A DAllDialogs.c6 /* 3 */ {0, {32,8,404,168}, SCROLL, x_("")},
7 /* 4 */ {0, {32,184,544,388}, USERDRAWN, x_("")},
9 /* 6 */ {0, {412,96,428,168}, EDITTEXT, x_("0")},
10 /* 7 */ {0, {8,8,24,324}, MESSAGE, x_("")},
13 /* 10 */ {0, {436,96,452,168}, EDITTEXT, x_("0")},
15 /* 12 */ {0, {480,96,496,168}, EDITTEXT, x_("0")},
33 /* 11 */ {0, {100,8,273,487}, SCROLL, x_("")},
39 /* 17 */ {0, {76,388,92,484}, POPUP, x_("")}
80 /* 2 */ {0, {28,8,184,272}, SCROLL, x_("")},
105 /* 12 */ {0, {28,8,156,244}, SCROLL, x_("")}
[all …]
/dports/cad/electric/electric-7.00/src/include/
H A Dconfig.h92 # define ESIMLOC x_("")
93 # define RSIMLOC x_("")
94 # define PRESIMLOC x_("")
95 # define RNLLOC x_("")
96 # define SPICELOC x_("")
97 # define FASTHENRYLOC x_("")
98 # define SORTLOC x_("")
99 # define FLATDRCLOC x_("")
100 # define SFLATDRCLOC x_("")
119 # define ESIMLOC x_("")
[all …]
/dports/cad/electric/electric-7.00/src/tec/
H A Dtecmocmos.c869 x_("CMF"), x_("CMS"), x_("CMT"), x_("CMQ"), x_("CMP"), x_("CM6"), /* metal 1/2/3/4/5/6 */
875 x_("CVA"), x_("CVS"), x_("CVT"), x_("CVQ"), x_("CV5"), /* via 1/2/3/4/5 */
881 x_(""), x_(""), x_(""), x_(""), x_(""), x_(""), /* pseudo metal 1/2/3/4/5/6 */
888 x_("49"), x_("51"), x_("62"), x_("31"), x_("33"), x_("37"), /* metal 1/2/3/4/5/6 */
894 x_("50"), x_("61"), x_("30"), x_("32"), x_("36"), /* via 1/2/3/4/5 */
900 x_(""), x_(""), x_(""), x_(""), x_(""), x_(""), /* pseudo metal 1/2/3/4/5/6 */
914 x_("via"), x_("via2"), x_("via3"), x_("via4"), x_("via5"), /* via 1/2/3/4/5 */
920 x_(""), x_(""), x_(""), x_(""), x_(""), x_(""), /* pseudo metal 1/2/3/4/5/6 */
1008 x_("m"), x_("h"), x_("r"), x_("q"), x_("a"), x_("c"), /* metal 1/2/3/4/5/6 */
1014 x_("v"), x_("u"), x_("z"), x_("i"), x_("y"), /* via 1/2/3/4/5 */
[all …]
H A Dtecmocmosold.c514 x_("Polysilicon"), x_("S-Active"), x_("D-Active"), x_("P-Select"), x_("N-Select"), x_("P-Well"),
515 x_("N-Well"), x_("Contact-Cut"), x_("Via"), x_("Passivation"), x_("Transistor"), x_("Poly-Cut"),
516 x_("Active-Cut"), x_("S-Active-Well"), x_("Pseudo-Metal-1"), x_("Pseudo-Metal-2"),
532 static CHAR *mocmosold_cif_layers[MAXLAYERS] = {x_("CMF"), x_("CMS"), x_("CPG"), x_("CAA"), x_("CAA…
533x_("CSG"), x_("CSG"), x_("CWG"), x_("CWG"), x_("CC"), x_("CVA"), x_("COG"), x_(""), x_("CCP"), x_(…
534 x_(""), x_(""), x_(""), x_(""), x_(""), x_(""), x_(""), x_(""), x_("CX")};
535 …cmosold_gds_layers[MAXLAYERS] = {x_("10"), x_("19"), x_("12"), x_("2"), x_("2"), x_("8"), x_("7"),…
536x_("18"), x_("11"), x_(""), x_("9"), x_("9"), x_("2"), x_(""), x_(""), x_(""), x_(""), x_(""), x_(…
537 static CHAR *mocmosold_layer_letters[MAXLAYERS] = {x_("m"), x_("h"), x_("p"), x_("s"), x_("d"), x_(…
538x_("f"), x_("w"), x_("n"), x_("c"), x_("v"), x_("o"), x_("t"), x_("a"), x_("A"), x_("x"), x_("M"),…
[all …]
H A Dtecpcb.c120 static CHAR *pcb_layer_names[MAXLAYERS] = {x_("Signal1"), x_("Signal2"), x_("Signal3"),
121x_("Signal4"), x_("Signal5"), x_("Signal6"), x_("Signal7"), x_("Signal8"), x_("Power1"), x_("Power…
122 x_("Power3"), x_("Power4"), x_("Power5"), x_("Power6"), x_("Power7"), x_("Power8"), x_("TopSilk"),
123 x_("BottomSilk"), x_("TopSolder"), x_("BottomSolder"), x_("Drill"), x_("DrillNonPlated"),
124 x_("Drawing")};
125 static CHAR *pcb_cif_layers[MAXLAYERS] = {x_("PC1"), x_("PC2"), x_("PC3"), x_("PC4"), x_("PC5"),
126x_("PC6"), x_("PC7"), x_("PC8"), x_("PN1"), x_("PN2"), x_("PN3"), x_("PN4"), x_("PN5"), x_("PN6"),…
127 x_("PN8"), x_("PSSC"), x_("PSSS"), x_("PSMC"), x_("PSMS"), x_("PD"), x_("PDNP"), x_("PF")};
133 static CHAR *pcb_layer_letters[MAXLAYERS] = {x_("1"), x_("2"), x_("3"), x_("4"), x_("5"), x_("6"),
134x_("8"), x_("a"), x_("b"), x_("c"), x_("d"), x_("e"), x_("f"), x_("g"), x_("h"), x_("K"), x_("k"),…
[all …]
/dports/textproc/hs-pandoc-crossref/pandoc-crossref-0.3.12.0/_cabal_deps/texmath-0.12.1.1/tests/writers/
H A Dcomplex2.tex4 \text{Rational\ Function} & {f(x) = \frac{1 - x_{}^{2}}{1 - x_{}^{3}}} \\
5 \text{Rational\ Function} & {f(x) = \frac{(1 - x_{}^{2})x_{}^{3}}{1 - x_{}^{3}}} \\
6 \text{Rational\ Function} & {f(x) = \frac{(1 - x_{}^{2})(x_{}^{3} - 5x)}{1 - x_{}^{3}}} \\
7 \text{Parametrize\ Rational\ Function} & {f(x) = \frac{(a_{i}^{} - x_{}^{2})_{}^{5}}{1 - x_{}^{3}}}…
8 \text{Stacked\ exponents} & {g(z) = e_{}^{- x_{}^{2}}} \\
13 \text{Cross\ Product} & {\frac{x_{1}^{} - x_{2}^{}}{x_{3}^{} - x_{4}^{}}\frac{x_{1}^{} - x_{4}^{}}{
14 \text{Cross\ Product} & {(\frac{x_{1}^{} - x_{2}^{}}{x_{3}^{} - x_{4}^{}})(\frac{x_{1}^{} - x_{4}^{…
15 …s\ Product} & {\left( \frac{x_{1}^{} - x_{2}^{}}{x_{3}^{} - x_{4}^{}} \right)\left( \frac{x_{1}^{}…
16 \text{Cross\ Product} & \frac{(x_{1}^{} - x_{2}^{})(x_{3}^{} - x_{4}^{})}{(x_{1}^{} - x_{4}^{})(x_{…
/dports/textproc/hs-pandoc/pandoc-2.14.2/_cabal_deps/texmath-0.12.3.1/tests/writers/
H A Dcomplex2.tex4 \text{Rational\ Function} & {f(x) = \frac{1 - x_{}^{2}}{1 - x_{}^{3}}} \\
5 \text{Rational\ Function} & {f(x) = \frac{(1 - x_{}^{2})x_{}^{3}}{1 - x_{}^{3}}} \\
6 \text{Rational\ Function} & {f(x) = \frac{(1 - x_{}^{2})(x_{}^{3} - 5x)}{1 - x_{}^{3}}} \\
7 \text{Parametrize\ Rational\ Function} & {f(x) = \frac{(a_{i}^{} - x_{}^{2})_{}^{5}}{1 - x_{}^{3}}}…
8 \text{Stacked\ exponents} & {g(z) = e_{}^{- x_{}^{2}}} \\
13 \text{Cross\ Product} & {\frac{x_{1}^{} - x_{2}^{}}{x_{3}^{} - x_{4}^{}}\frac{x_{1}^{} - x_{4}^{}}{
14 \text{Cross\ Product} & {(\frac{x_{1}^{} - x_{2}^{}}{x_{3}^{} - x_{4}^{}})(\frac{x_{1}^{} - x_{4}^{…
15 …s\ Product} & {\left( \frac{x_{1}^{} - x_{2}^{}}{x_{3}^{} - x_{4}^{}} \right)\left( \frac{x_{1}^{}…
16 \text{Cross\ Product} & \frac{(x_{1}^{} - x_{2}^{})(x_{3}^{} - x_{4}^{})}{(x_{1}^{} - x_{4}^{})(x_{…
/dports/security/libsodium/libsodium-1.0.18/src/libsodium/crypto_stream/chacha20/dolbeau/
H A Du8.h7 x_##A = _mm256_add_epi32(x_##A, x_##B); \
10 x_##C = _mm256_add_epi32(x_##C, x_##D); \
13 x_##A = _mm256_add_epi32(x_##A, x_##B); \
16 x_##C = _mm256_add_epi32(x_##C, x_##D); \
23 x_##A = _mm256_add_epi32(x_##A, x_##B); \
26 x_##C = _mm256_add_epi32(x_##C, x_##D); \
29 x_##A = _mm256_add_epi32(x_##A, x_##B); \
32 x_##C = _mm256_add_epi32(x_##C, x_##D); \
55 x_##A = _mm256_add_epi32(x_##A, x_##B); \
58 x_##C = _mm256_add_epi32(x_##C, x_##D); \
[all …]
/dports/security/py-pynacl/PyNaCl-1.4.0/src/libsodium/src/libsodium/crypto_stream/chacha20/dolbeau/
H A Du8.h7 x_##A = _mm256_add_epi32(x_##A, x_##B); \
10 x_##C = _mm256_add_epi32(x_##C, x_##D); \
13 x_##A = _mm256_add_epi32(x_##A, x_##B); \
16 x_##C = _mm256_add_epi32(x_##C, x_##D); \
23 x_##A = _mm256_add_epi32(x_##A, x_##B); \
26 x_##C = _mm256_add_epi32(x_##C, x_##D); \
29 x_##A = _mm256_add_epi32(x_##A, x_##B); \
32 x_##C = _mm256_add_epi32(x_##C, x_##D); \
55 x_##A = _mm256_add_epi32(x_##A, x_##B); \
58 x_##C = _mm256_add_epi32(x_##C, x_##D); \
[all …]
/dports/graphics/urho3d/Urho3D-1.7.1/Source/Urho3D/Math/
H A DVector2.h58 x_(rhs.x_), in IntVector2()
66 x_ = rhs.x_;
95 x_ += rhs.x_;
103 x_ -= rhs.x_;
168 x_(vector.x_), in Vector2()
175 x_((float)vector.x_), in Vector2()
197 x_ = rhs.x_;
232 x_ += rhs.x_;
240 x_ -= rhs.x_;
256 x_ *= rhs.x_;
[all …]
H A DVector3.h61 x_(rhs.x_), in IntVector3()
70 x_ = rhs.x_;
100 x_ += rhs.x_;
109 x_ -= rhs.x_;
184 x_(vector.x_), in Vector3()
192 x_(vector.x_), in Vector3()
200 x_(vector.x_), in Vector3()
241 x_ = rhs.x_;
277 x_ += rhs.x_;
286 x_ -= rhs.x_;
[all …]
/dports/devel/libcutl/libcutl-1.10.0/cutl/
H A Dshared-ptr.hxx20 if (x_ != 0) in ~shared_ptr()
31 : base (x), x_ (x.x_) in shared_ptr()
39 : base (x), x_ (x.x_) in shared_ptr()
48 if (x_ != x.x_) in operator =()
54 x_ = x.x_; in operator =()
67 if (x_ != x.x_) in operator =()
73 x_ = x.x_; in operator =()
116 x_ = 0; in release()
127 x_ = x; in reset()
133 return x_ != 0 ? base::count (x_) : 0; in count()
[all …]
/dports/devel/xsd/xsd-4.1.0.a11+dep/libcutl/cutl/
H A Dshared-ptr.hxx20 if (x_ != 0) in ~shared_ptr()
31 : base (x), x_ (x.x_) in shared_ptr()
39 : base (x), x_ (x.x_) in shared_ptr()
48 if (x_ != x.x_) in operator =()
54 x_ = x.x_; in operator =()
67 if (x_ != x.x_) in operator =()
73 x_ = x.x_; in operator =()
116 x_ = 0; in release()
127 x_ = x; in reset()
133 return x_ != 0 ? base::count (x_) : 0; in count()
[all …]
/dports/cad/electric/electric-7.00/src/io/
H A Diopsout.cpp158 x_("} def"),
195 x_("} def"),
204 x_("} def"),
213 x_("} def"),
220 x_("} def"),
228 x_("} def"),
237 x_("} def"),
245 x_("} def"),
254 x_("} def"),
259 x_("} def"),
[all …]
/dports/devel/hpx/hpx-1.2.1/tests/unit/util/
H A Dsmall_big_object.hpp22 std::uint64_t x_; member
29 ar & x_; in serialize() local
54 small_object(small_object const& o) : x_(o.x_) in small_object()
64 x_ = o.x_; in operator =()
78 return x_ == o.x_; in operator ==()
101 in >> obj.x_; in operator >>()
134 ar & x_; in serialize() local
152 : x_(x), y_(y) in big_object()
161 : x_(o.x_), y_(o.y_) in big_object()
171 x_ = o.x_; in operator =()
[all …]
/dports/devel/hpx/hpx-1.2.1/examples/tuplespace/
H A Dsmall_big_object.hpp34 ar & x_; in serialize() local
44 : x_(0) in small_object()
55 : x_(x) in small_object()
66 : x_(o.x_) in small_object()
78 x_ = o.x_; in operator =()
94 return x_ == o.x_; in operator ==()
169 : x_(0) in big_object()
182 : x_(x) in big_object()
194 : x_(o.x_) in big_object()
207 x_ = o.x_; in operator =()
[all …]

12345678910>>...167