Home
last modified time | relevance | path

Searched refs:xim_send_ct (Results 1 – 18 of 18) sorted by relevance

/dports/chinese/FreeWnn-server/FreeWnn-1.1.1-a021/Xwnmo/xwnmo/
H A Dwrite.c284 xim_send_ct (ct_buf, send_len);
336 xim_send_ct (ct_buf, send_len);
H A Dext.h834 extern int xim_send_ct (
H A Dximdispt.c4323 xim_send_ct (register char *ct, register int ct_len) in xim_send_ct() function
4326 xim_send_ct (ct, ct_len) in xim_send_ct()
/dports/chinese/FreeWnn-lib/FreeWnn-1.1.1-a021/Xwnmo/xwnmo/
H A Dwrite.c284 xim_send_ct (ct_buf, send_len);
336 xim_send_ct (ct_buf, send_len);
H A Dext.h834 extern int xim_send_ct (
H A Dximdispt.c4323 xim_send_ct (register char *ct, register int ct_len) in xim_send_ct() function
4326 xim_send_ct (ct, ct_len) in xim_send_ct()
/dports/japanese/FreeWnn-server/FreeWnn-1.1.1-a021/Xwnmo/xwnmo/
H A Dwrite.c284 xim_send_ct (ct_buf, send_len);
336 xim_send_ct (ct_buf, send_len);
H A Dext.h834 extern int xim_send_ct (
H A Dximdispt.c4323 xim_send_ct (register char *ct, register int ct_len) in xim_send_ct() function
4326 xim_send_ct (ct, ct_len) in xim_send_ct()
/dports/japanese/FreeWnn-lib/FreeWnn-1.1.1-a021/Xwnmo/xwnmo/
H A Dwrite.c284 xim_send_ct (ct_buf, send_len);
336 xim_send_ct (ct_buf, send_len);
H A Dext.h834 extern int xim_send_ct (
H A Dximdispt.c4323 xim_send_ct (register char *ct, register int ct_len) in xim_send_ct() function
4326 xim_send_ct (ct, ct_len) in xim_send_ct()
/dports/korean/FreeWnn-lib/FreeWnn-1.1.1-a021/Xwnmo/xwnmo/
H A Dwrite.c284 xim_send_ct (ct_buf, send_len);
336 xim_send_ct (ct_buf, send_len);
H A Dext.h834 extern int xim_send_ct (
H A Dximdispt.c4323 xim_send_ct (register char *ct, register int ct_len) in xim_send_ct() function
4326 xim_send_ct (ct, ct_len) in xim_send_ct()
/dports/korean/FreeWnn-server/FreeWnn-1.1.1-a021/Xwnmo/xwnmo/
H A Dwrite.c284 xim_send_ct (ct_buf, send_len);
336 xim_send_ct (ct_buf, send_len);
H A Dext.h834 extern int xim_send_ct (
H A Dximdispt.c4323 xim_send_ct (register char *ct, register int ct_len) in xim_send_ct() function
4326 xim_send_ct (ct, ct_len) in xim_send_ct()