Home
last modified time | relevance | path

Searched refs:up_packet_size (Results 1 – 3 of 3) sorted by relevance

/dragonfly/sys/bus/u4b/
H A Dusb_pf.h83 uint32_t up_packet_size; /* Packet size used */ member
H A Dusb_pf.c411 up->up_packet_size = htole32(temp); in usbpf_xfertap()
/dragonfly/usr.sbin/usbdump/
H A Dusbdump.c480 up->up_packet_size = le32toh(up->up_packet_size); in print_apacket()