Home
last modified time | relevance | path

Searched refs:getBit (Results 1 – 9 of 9) sorted by relevance

/minix/external/bsd/llvm/dist/llvm/utils/TableGen/
H A DCodeEmitterGen.cpp50 if (VarBitInit *VBI = dyn_cast<VarBitInit>(BI->getBit(bit))) { in getVariableBit()
54 } else if (VarInit *VI = dyn_cast<VarInit>(BI->getBit(bit))) { in getVariableBit()
259 if (BitInit *B = dyn_cast<BitInit>(BI->getBit(e-i-1))) in run()
H A DCodeGenTarget.cpp353 Init *OrigBit = BI->getBit(bit); in reverseBitsForLittleEndianEncoding()
354 Init *BitSwap = BI->getBit(bitSwapIdx); in reverseBitsForLittleEndianEncoding()
360 NewBits[middle] = BI->getBit(middle); in reverseBitsForLittleEndianEncoding()
H A DFixedLenDecoderEmitter.cpp144 if (BitInit *bit = dyn_cast<BitInit>(bits.getBit(index))) in bitFromBits()
1743 VarBitInit *BI = dyn_cast<VarBitInit>(Bits.getBit(bi)); in populateInstruction()
1747 Var = dyn_cast<VarInit>(Bits.getBit(bi)); in populateInstruction()
1765 VarBitInit *BI = dyn_cast<VarBitInit>(Bits.getBit(bi)); in populateInstruction()
1769 Var = dyn_cast<VarInit>(Bits.getBit(bi)); in populateInstruction()
1915 VarBitInit *BI = dyn_cast<VarBitInit>(Bits.getBit(bi)); in populateInstruction()
1919 Var = dyn_cast<VarInit>(Bits.getBit(bi)); in populateInstruction()
H A DInstrInfoEmitter.cpp518 if (BitInit *Bit = dyn_cast<BitInit>(TSF->getBit(i))) in emitRecord()
H A DX86RecognizableInstr.cpp160 if (static_cast<BitInit*>(init.getBit(index))->getValue()) in byteFromBitsInit()
H A DRegisterInfoEmitter.cpp1035 if (BitInit *B = dyn_cast<BitInit>(BI->getBit(b))) in runMCDesc()
/minix/external/bsd/llvm/dist/llvm/include/llvm/TableGen/
H A DRecord.h613 Init *getBit(unsigned Bit) const override { in getBit() function
643 Init *getBit(unsigned Bit) const override { in getBit() function
702 Init *getBit(unsigned Bit) const override { in getBit() function
743 Init *getBit(unsigned Bit) const override { in getBit() function
783 Init *getBit(unsigned Bit) const override { in getBit() function
850 Init *getBit(unsigned Bit) const override { in getBit() function
886 Init *getBit(unsigned Bit) const override;
1095 Init *getBit(unsigned Bit) const override;
1133 Init *getBit(unsigned B) const override { in getBit() function
1178 Init *getBit(unsigned Bit) const override;
[all …]
/minix/external/bsd/llvm/dist/llvm/lib/TableGen/
H A DRecord.cpp105 return BI->getBit(0); in convertValue()
232 if (BitInit *Bit = dyn_cast<BitInit>(BI->getBit(i))) { in convertValue()
499 NewBits[i] = getBit(Bits[i]); in convertInitializerBitRange()
508 if (Init *Bit = getBit(e-i-1)) in getAsString()
544 Init *Bit = CachedInit->getBit(CurBit->getBitNum()); in resolveReferences()
562 Init *Bit = CurBitVar->getBit(CurBit->getBitNum()); in resolveReferences()
747 Init *OpInit::getBit(unsigned Bit) const { in getBit() function in OpInit
1344 Init *VarInit::getBit(unsigned Bit) const { in getBit() function in VarInit
1432 return I->getBit(getBitNum()); in resolveReferences()
1463 Init *VarListElementInit::getBit(unsigned Bit) const { in getBit() function in VarListElementInit
[all …]
H A DTGParser.cpp128 NewBits[Bit] = BInit->getBit(i); in SetValue()
133 NewBits[i] = CurVal->getBit(i); in SetValue()
1312 NewBits.push_back(BI->getBit((e - i) - 1)); in ParseSimpleValue()
1319 NewBits.push_back(VI->getBit((e - i) - 1)); in ParseSimpleValue()