Home
last modified time | relevance | path

Searched refs:vt (Results 1 – 25 of 160) sorted by relevance

1234567

/netbsd/sys/arch/algor/pci/
H A Dvtpbcreg.h21 #define V96X_PCI_VENDOR(vt) V96XH(vt, 0x00) argument
22 #define V96X_PCI_DEVICE(vt) V96XH(vt, 0x02) argument
23 #define V96X_PCI_CMD(vt) V96XH(vt, 0x04) argument
24 #define V96X_PCI_STAT(vt) V96XH(vt, 0x06) argument
25 #define V96X_PCI_CC_REV(vt) V96XW(vt, 0x08) argument
29 #define V96X_PCI_BASE0(vt) V96XW(vt, 0x14) argument
32 #define V96X_PCI_MAP0(vt) V96XW(vt, 0x40) argument
33 #define V96X_PCI_MAP1(vt) V96XW(vt, 0x44) argument
36 #define V96X_LB_BASE0(vt) V96XW(vt, 0x54) argument
38 #define V96X_LB_MAP0(vt) V96XH(vt, 0x5e) argument
[all …]
H A Dvtpbc.c89 pc->pc_conf_v = vt; in vtpbc_init()
97 vt->vt_rev = V96X_PCI_CC_REV(vt) & V96X_PCI_CC_REV_VREV; in vtpbc_init()
107 if (vt->vt_rev < V96X_VREV_B2) in vtpbc_init()
113 vt->vt_pci_iobase = in vtpbc_init()
121 vt->vt_pci_membase = (V96X_LB_MAP1(vt) & V96X_LB_MAPx_MAP_ADR) << 16; in vtpbc_init()
127 vt->vt_dma_winbase = V96X_PCI_BASE1(vt) & 0xfffffff0; in vtpbc_init()
174 if (d > (31 - vt->vt_adbase)) in vtpbc_conf_addr()
191 struct vtpbc_config *vt = v; in vtpbc_conf_read() local
214 errbits = V96X_PCI_STAT(vt) & in vtpbc_conf_read()
217 V96X_PCI_STAT(vt) |= errbits; in vtpbc_conf_read()
[all …]
/netbsd/external/gpl3/gdb/dist/sim/mips/
H A Dmdmx.c1388 {{vt,4}, {vs,4}, {vt,5}, {vs,5}, {vt,6}, {vs,6}, {vt,7}, {vs,7}}, /* RSVD */
1389 {{vt,0}, {vs,0}, {vt,1}, {vs,1}, {vt,2}, {vs,2}, {vt,3}, {vs,3}}, /* RSVD */
1391 {{vt,1}, {vt,3}, {vt,5}, {vt,7}, {vs,1}, {vs,3}, {vs,5}, {vs,7}}, /* pach */
1392 {{vt,0}, {vt,2}, {vt,4}, {vt,6}, {vs,0}, {vs,2}, {vs,4}, {vs,6}}, /* pacl */
1393 {{vt,4}, {vs,4}, {vt,5}, {vs,5}, {vt,6}, {vs,6}, {vt,7}, {vs,7}}, /* mixh */
1394 {{vt,0}, {vs,0}, {vt,1}, {vs,1}, {vt,2}, {vs,2}, {vt,3}, {vs,3}} /* mixl */
1398 {{vt,2}, {vs,2}, {vt,3}, {vs,3}}, /* mixh */
1399 {{vt,0}, {vs,0}, {vt,1}, {vs,1}}, /* mixl */
1400 {{vt,1}, {vt,3}, {vs,1}, {vs,3}}, /* pach */
1402 {{vt,1}, {vs,0}, {vt,3}, {vs,2}}, /* bfla */
[all …]
H A Dsim-main.h824 #define MX_Add(op1,vt,fmtsel) mdmx_cpr_op(SIM_ARGS, MX_VECT_ADD, op1, vt, fmtsel) argument
825 #define MX_And(op1,vt,fmtsel) mdmx_cpr_op(SIM_ARGS, MX_VECT_AND, op1, vt, fmtsel) argument
844 #define MX_Comp(op1,cond,vt,fmtsel) mdmx_cc_op(SIM_ARGS, cond, op1, vt, fmtsel) argument
847 #define MX_Pick(tf,op1,vt,fmtsel) mdmx_pick_op(SIM_ARGS, tf, op1, vt, fmtsel) argument
882 #define MX_WACL(fmt,vs,vt) mdmx_wacl(SIM_ARGS, fmt, vs, vt) argument
894 #define MX_RNAS(vt,fmt) mdmx_round_op(SIM_ARGS, MX_RND_AS, vt, fmt) argument
895 #define MX_RNAU(vt,fmt) mdmx_round_op(SIM_ARGS, MX_RND_AU, vt, fmt) argument
896 #define MX_RNES(vt,fmt) mdmx_round_op(SIM_ARGS, MX_RND_ES, vt, fmt) argument
897 #define MX_RNEU(vt,fmt) mdmx_round_op(SIM_ARGS, MX_RND_EU, vt, fmt) argument
898 #define MX_RZS(vt,fmt) mdmx_round_op(SIM_ARGS, MX_RND_ZS, vt, fmt) argument
[all …]
/netbsd/external/gpl3/gdb.old/dist/sim/mips/
H A Dmdmx.c1388 {{vt,4}, {vs,4}, {vt,5}, {vs,5}, {vt,6}, {vs,6}, {vt,7}, {vs,7}}, /* RSVD */
1389 {{vt,0}, {vs,0}, {vt,1}, {vs,1}, {vt,2}, {vs,2}, {vt,3}, {vs,3}}, /* RSVD */
1391 {{vt,1}, {vt,3}, {vt,5}, {vt,7}, {vs,1}, {vs,3}, {vs,5}, {vs,7}}, /* pach */
1392 {{vt,0}, {vt,2}, {vt,4}, {vt,6}, {vs,0}, {vs,2}, {vs,4}, {vs,6}}, /* pacl */
1393 {{vt,4}, {vs,4}, {vt,5}, {vs,5}, {vt,6}, {vs,6}, {vt,7}, {vs,7}}, /* mixh */
1394 {{vt,0}, {vs,0}, {vt,1}, {vs,1}, {vt,2}, {vs,2}, {vt,3}, {vs,3}} /* mixl */
1398 {{vt,2}, {vs,2}, {vt,3}, {vs,3}}, /* mixh */
1399 {{vt,0}, {vs,0}, {vt,1}, {vs,1}}, /* mixl */
1400 {{vt,1}, {vt,3}, {vs,1}, {vs,3}}, /* pach */
1402 {{vt,1}, {vs,0}, {vt,3}, {vs,2}}, /* bfla */
[all …]
H A Dsim-main.h824 #define MX_Add(op1,vt,fmtsel) mdmx_cpr_op(SIM_ARGS, MX_VECT_ADD, op1, vt, fmtsel) argument
825 #define MX_And(op1,vt,fmtsel) mdmx_cpr_op(SIM_ARGS, MX_VECT_AND, op1, vt, fmtsel) argument
844 #define MX_Comp(op1,cond,vt,fmtsel) mdmx_cc_op(SIM_ARGS, cond, op1, vt, fmtsel) argument
847 #define MX_Pick(tf,op1,vt,fmtsel) mdmx_pick_op(SIM_ARGS, tf, op1, vt, fmtsel) argument
882 #define MX_WACL(fmt,vs,vt) mdmx_wacl(SIM_ARGS, fmt, vs, vt) argument
894 #define MX_RNAS(vt,fmt) mdmx_round_op(SIM_ARGS, MX_RND_AS, vt, fmt) argument
895 #define MX_RNAU(vt,fmt) mdmx_round_op(SIM_ARGS, MX_RND_AU, vt, fmt) argument
896 #define MX_RNES(vt,fmt) mdmx_round_op(SIM_ARGS, MX_RND_ES, vt, fmt) argument
897 #define MX_RNEU(vt,fmt) mdmx_round_op(SIM_ARGS, MX_RND_EU, vt, fmt) argument
898 #define MX_RZS(vt,fmt) mdmx_round_op(SIM_ARGS, MX_RND_ZS, vt, fmt) argument
[all …]
/netbsd/external/bsd/wpa/dist/src/drivers/
H A Dndis_events.c243 VARIANT vt; in ndis_events_media_specific() local
269 VariantClear(&vt); in ndis_events_media_specific()
280 VariantClear(&vt); in ndis_events_media_specific()
493 VARIANT vt; in ndis_events_get_adapter() local
544 VariantInit(&vt); in ndis_events_get_adapter()
557 vt.uintVal); in ndis_events_get_adapter()
559 VariantClear(&vt); in ndis_events_get_adapter()
595 vt.bstrVal); in ndis_events_get_adapter()
597 VariantClear(&vt); in ndis_events_get_adapter()
642 VariantClear(&vt); in ndis_events_get_adapter()
[all …]
/netbsd/external/apache2/llvm/dist/llvm/lib/Target/AMDGPU/
H A DFLATInstructions.td373 ValueType vt,
375 ValueType data_vt = vt,
394 [(set vt:$vdst,
406 ValueType vt,
408 ValueType data_vt = vt,
440 ValueType vt,
452 [(set vt:$vdst,
476 ValueType vt,
862 ValueType vt, ValueType data_vt = vt> : GCNPat <
941 (vt (node (ScratchSAddr (i32 SGPR_32:$saddr), i16:$offset), vt:$in)),
[all …]
H A DDSInstructions.td709 foreach vt = Reg32Types.types in {
769 foreach vt = Reg32Types.types in {
831 foreach vt = VReg_64.RegTypes in {
843 foreach vt = VReg_64.RegTypes in {
850 foreach vt = VReg_96.RegTypes in {
864 foreach vt = VReg_96.RegTypes in {
885 def : DSAtomicRetPat<inst, vt, !cast<PatFrag>(frag#"_local_m0_"#vt.Size)>;
893 def : DSAtomicRetPat<inst, vt, !cast<PatFrag>(frag#"_region_m0_"#vt.Size), 1>;
899 (frag (DS1Addr1Offset i32:$ptr, i16:$offset), vt:$cmp, vt:$swap),
905 def : DSAtomicCmpXChg<inst, vt, !cast<PatFrag>(frag#"_local_m0_"#vt.Size)>;
[all …]
H A DEXPInstructions.td97 class ExpPattern<ValueType vt, Instruction Inst, int done_val> : GCNPat<
99 (vt ExpSrc0:$src0), (vt ExpSrc1:$src1),
100 (vt ExpSrc2:$src2), (vt ExpSrc3:$src3),
106 class ExpComprPattern<ValueType vt, Instruction Inst, int done_val> : GCNPat<
108 (vt ExpSrc0:$src0), (vt ExpSrc1:$src1),
H A DBUFInstructions.td431 !if(!eq(vt.Size, 32), 1,
1367 (vt (name vt:$vdata_in, v4i32:$rsrc, 0, 0, i32:$soffset,
1375 (vt (name vt:$vdata_in, v4i32:$rsrc, i32:$vindex, 0, i32:$soffset,
1383 (vt (name vt:$vdata_in, v4i32:$rsrc, 0, i32:$voffset,
1391 (vt (name vt:$vdata_in, v4i32:$rsrc, i32:$vindex, i32:$voffset,
1441 (NoUseBufferAtomic<name, vt> vt:$vdata_in, v4i32:$rsrc, 0,
1449 (NoUseBufferAtomic<name, vt> vt:$vdata_in, v4i32:$rsrc, i32:$vindex,
1457 (NoUseBufferAtomic<name, vt> vt:$vdata_in, v4i32:$rsrc, 0,
1465 (NoUseBufferAtomic<name, vt> vt:$vdata_in, v4i32:$rsrc, i32:$vindex,
1636 foreach vt = Reg32Types.types in {
[all …]
/netbsd/sys/arch/algor/dev/
H A Dvtpbc_mainbus.c84 struct vtpbc_config *vt; in vtpbc_mainbus_attach() local
89 vt = &vtpbc_configuration; in vtpbc_mainbus_attach()
90 sc->sc_vtpbc = vt; in vtpbc_mainbus_attach()
92 if (vt->vt_rev < vtpbc_nrevs) in vtpbc_mainbus_attach()
93 printf(": V3 V962, revision %s\n", vtpbc_revs[vt->vt_rev]); in vtpbc_mainbus_attach()
95 printf(": V3 V962, unknown revision %d\n", vt->vt_rev); in vtpbc_mainbus_attach()
98 (u_long) vt->vt_pci_membase); in vtpbc_mainbus_attach()
100 (u_long) vt->vt_dma_winbase); in vtpbc_mainbus_attach()
106 if (vt->vt_pci_iobase == (bus_addr_t) -1) in vtpbc_mainbus_attach()
/netbsd/external/apache2/llvm/dist/llvm/lib/Target/WebAssembly/
H A DWebAssemblyInstrSIMD.td50 ValueType vt;
62 let vt = v16i8;
73 let vt = v8i16;
85 let vt = v4i32;
97 let vt = v2i64;
109 let vt = v4f32;
120 let vt = v2f64;
495 def : Pat<(vec.vt (wasm_shuffle (vec.vt V128:$x), (vec.vt V128:$y),
755 (vec.vt V128:$v1), (vec.vt V128:$v2), (vec.vt V128:$c))),
760 def : Pat<(vec.vt (or (and (vec.vt V128:$c), (vec.vt V128:$v1)),
[all …]
/netbsd/tests/fs/puffs/h_dtfs/
H A Ddtfs_vfsops.c44 rtstr(struct puffs_usermount *pu, const char *str, enum vtype vt) in rtstr() argument
54 dtfs_baseattrs(va, vt, 2); in rtstr()
60 puffs_setrootinfo(pu, vt, 0, 0); in rtstr()
75 dtfs_baseattrs(va, vt, 2); in rtdev()
79 if (vt == VBLK) in rtdev()
84 puffs_setrootinfo(pu, vt, 0, va->va_rdev); in rtdev()
95 dtfs_baseattrs(va, vt, 2); in rtnorm()
96 if (vt == VDIR) in rtnorm()
101 puffs_setrootinfo(pu, vt, 0, 0); in rtnorm()
108 enum vtype vt; member
[all …]
/netbsd/sys/arch/xen/xen/
H A Dxen_clock.c225 return vt; in xen_vcputime_enter()
249 return tp->version == vt->version; in xen_vcputime_exit()
288 volatile struct vcpu_time_info *vt; in xen_vcputime_systime_ns() local
305 vt = xen_vcputime_enter(&ticket); in xen_vcputime_systime_ns()
308 raw_systime_ns = vt->system_time; in xen_vcputime_systime_ns()
309 tsc_timestamp = vt->tsc_timestamp; in xen_vcputime_systime_ns()
313 tsc_shift = vt->tsc_shift; in xen_vcputime_systime_ns()
446 volatile struct vcpu_time_info *vt; in xen_vcputime_raw_systime_ns() local
451 vt = xen_vcputime_enter(&ticket); in xen_vcputime_raw_systime_ns()
452 raw_systime_ns = vt->system_time; in xen_vcputime_raw_systime_ns()
[all …]
/netbsd/external/apache2/llvm/dist/llvm/lib/Target/SystemZ/
H A DSystemZPatterns.td135 multiclass BlockLoadStore<SDPatternOperator load, ValueType vt,
138 defm : MVCLoadStore<load, vt, mvc, length>;
139 defm : BinaryLoadStore<block_and1, load, vt, nc, length>;
140 defm : BinaryLoadStore<block_and2, load, vt, nc, length>;
141 defm : BinaryLoadStore<block_or1, load, vt, oc, length>;
142 defm : BinaryLoadStore<block_or2, load, vt, oc, length>;
143 defm : BinaryLoadStore<block_xor1, load, vt, xc, length>;
144 defm : BinaryLoadStore<block_xor2, load, vt, xc, length>;
160 : Pat<(vt (operator cls:$x, cls:$y)), (insn cls:$x, cls:$y)>;
167 : Pat<(tr1.vt (operator (tr2.vt tr2.op:$vec))),
[all …]
H A DSystemZOperands.td24 class ImmediateOp<ValueType vt, string asmop> : Operand<vt> {
33 ImmediateOp<vt, asmop>, PatLeaf<(vt ImmNode), pred, xform>;
35 // class ImmediatePatLeaf<ValueType vt, code pred,
37 // : PatLeaf<(vt ImmNode), pred, xform>;
45 // def "" : ImmediateOp<vt, asmop>,
46 // PatLeaf<(vt imm), pred, xform>;
49 // def _timm : PatLeaf<(vt timm), pred, xform>;
68 class PCRelOperand<ValueType vt, AsmOperandClass asmop> : Operand<vt> {
72 class PCRelTLSOperand<ValueType vt, AsmOperandClass asmop> : Operand<vt> {
82 : ComplexPattern<vt, 1, "selectPCRelAddress",
[all …]
/netbsd/external/lgpl3/gmp/dist/mini-gmp/tests/
H A Dt-lucm.c34 mpz_t m, vr, qr, vm, qm, vt; in testmain() local
44 mpz_init (vt); in testmain()
71 mpz_sub (vt, m, vr); in testmain()
77 (mpz_cmp (vm, vr) != 0 && mpz_cmp (vm, vt) != 0)) in testmain()
84 dump ("vt", vt); in testmain()
97 mpz_clear (vt); in testmain()
/netbsd/sys/arch/algor/algor/
H A Dmachdep.c212 struct vtpbc_config *vt = &vtpbc_configuration; in mach_init() local
217 vt->vt_addr = MIPS_PHYS_TO_KSEG1(P4032_V962PBC); in mach_init()
218 vt->vt_cfgbase = MIPS_PHYS_TO_KSEG1(P4032_PCICFG); in mach_init()
219 vt->vt_adbase = 11; in mach_init()
222 vtpbc_init(&acp->ac_pc, vt); in mach_init()
259 struct vtpbc_config *vt = &vtpbc_configuration; in mach_init() local
264 vt->vt_addr = MIPS_PHYS_TO_KSEG1(P5064_V360EPC); in mach_init()
265 vt->vt_cfgbase = MIPS_PHYS_TO_KSEG1(P5064_PCICFG); in mach_init()
266 vt->vt_adbase = 24; in mach_init()
269 vtpbc_init(&acp->ac_pc, vt); in mach_init()
/netbsd/external/lgpl3/gmp/dist/tests/
H A Drefmpf.c31 mp_ptr ut, vt, wt; in refmpf_add() local
73 vt = TMP_ALLOC_LIMBS (size + 1); in refmpf_add()
76 MPN_ZERO (vt, size); in refmpf_add()
81 MPN_COPY (vt + off, PTR (v), ABSIZ (v)); in refmpf_add()
84 cy = mpn_add_n (wt, ut, vt, size); in refmpf_add()
203 mp_ptr ut, vt, wt; in refmpf_sub() local
246 vt = TMP_ALLOC_LIMBS (size + 1); in refmpf_sub()
249 MPN_ZERO (vt, size); in refmpf_sub()
257 if (mpn_cmp (ut, vt, size) >= 0) in refmpf_sub()
258 mpn_sub_n (wt, ut, vt, size); in refmpf_sub()
[all …]
/netbsd/sys/dev/
H A Dvideo.c1302 vt->index = tuner->index; in v4l2_tuner_to_video_tuner()
1303 strlcpy(vt->name, tuner->name, sizeof(vt->name)); in v4l2_tuner_to_video_tuner()
1307 vt->afc = tuner->afc; in v4l2_tuner_to_video_tuner()
1308 vt->caps = 0; in v4l2_tuner_to_video_tuner()
1338 tuner->index = vt->index; in video_tuner_to_v4l2_tuner()
1343 tuner->afc = vt->afc; in video_tuner_to_v4l2_tuner()
1351 switch (vt->mode) { in video_tuner_to_v4l2_tuner()
1374 struct video_tuner vt; in video_get_tuner() local
1395 struct video_tuner vt; in video_set_tuner() local
1431 struct video_tuner vt; in video_set_frequency() local
[all …]
/netbsd/sys/dev/usb/
H A Dauvitek_video.c328 auvitek_get_tuner(void *opaque, struct video_tuner *vt) in auvitek_get_tuner() argument
332 switch (vt->index) { in auvitek_get_tuner()
334 strlcpy(vt->name, "XC5000", sizeof(vt->name)); in auvitek_get_tuner()
335 vt->freq_lo = 44000000 / 62500; in auvitek_get_tuner()
336 vt->freq_hi = 958000000 / 62500; in auvitek_get_tuner()
337 vt->caps = VIDEO_TUNER_F_STEREO; in auvitek_get_tuner()
338 vt->mode = VIDEO_TUNER_F_STEREO; in auvitek_get_tuner()
340 vt->signal = au8522_get_signal(sc->sc_au8522); in auvitek_get_tuner()
342 vt->signal = 0; in auvitek_get_tuner()
352 auvitek_set_tuner(void *opaque, struct video_tuner *vt) in auvitek_set_tuner() argument
[all …]
/netbsd/sys/arch/hpcmips/vr/
H A Dbcu_vrip.c221 int vt; in vrbcu_dump_regs() local
224 vt = ((spdreg & BCUCLKSPEED_DIVVTMASK) >> in vrbcu_dump_regs()
226 if (vt == 0) in vrbcu_dump_regs()
228 else if (vt < 0x9) in vrbcu_dump_regs()
229 vtclock = cpuclock / vt; in vrbcu_dump_regs()
231 vtclock = cpuclock / ((vt - 8)*2+1) * 2; in vrbcu_dump_regs()
/netbsd/external/apache2/llvm/dist/clang/lib/AST/
H A DStmtIterator.cpp26 while (const ArrayType *vt = dyn_cast<ArrayType>(t)) { in FindVA() local
27 if (const VariableArrayType *vat = dyn_cast<VariableArrayType>(vt)) in FindVA()
31 t = vt->getElementType().getTypePtr(); in FindVA()
/netbsd/external/apache2/llvm/dist/llvm/include/llvm/CodeGen/
H A DTargetCallingConv.h212 InputArg(ArgFlagsTy flags, EVT vt, EVT argvt, bool used, in InputArg()
215 VT = vt.getSimpleVT(); in InputArg()
250 OutputArg(ArgFlagsTy flags, EVT vt, EVT argvt, bool isfixed, in OutputArg()
254 VT = vt.getSimpleVT(); in OutputArg()

1234567