Home
last modified time | relevance | path

Searched refs:FSL_IMX6UL_APBH_DMA_SIZE (Results 1 – 2 of 2) sorted by relevance

/qemu/include/hw/arm/
H A Dfsl-imx6ul.h306 FSL_IMX6UL_APBH_DMA_SIZE = (4 * KiB), enumerator
/qemu/hw/arm/
H A Dfsl-imx6ul.c652 FSL_IMX6UL_APBH_DMA_SIZE); in fsl_imx6ul_realize()