Home
last modified time | relevance | path

Searched refs:FSL_IMX6_ROM_SIZE (Results 1 – 2 of 2) sorted by relevance

/qemu/include/hw/arm/
H A Dfsl-imx6.h343 #define FSL_IMX6_ROM_SIZE 0x18000 macro
/qemu/hw/arm/
H A Dfsl-imx6.c455 FSL_IMX6_ROM_SIZE, errp)) { in fsl_imx6_realize()