Home
last modified time | relevance | path

Searched refs:packet_size (Results 1 – 2 of 2) sorted by relevance

/qemu/hw/char/
H A Dcadence_uart.c177 unsigned int baud_rate, packet_size, input_clk; in uart_parameters_setup() local
186 packet_size = 1; in uart_parameters_setup()
191 packet_size++; in uart_parameters_setup()
195 packet_size++; in uart_parameters_setup()
223 packet_size += ssp.data_bits + ssp.stop_bits; in uart_parameters_setup()
231 s->char_tx_time = (NANOSECONDS_PER_SECOND / ssp.speed) * packet_size; in uart_parameters_setup()
/qemu/hw/usb/
H A Du2f-emulated.c282 size_t packet_size; in u2f_emulated_event_handler() local
288 packet_size = u2f_emu_vdev_get_response(key->vdev, U2F_EMU_USB, in u2f_emulated_event_handler()
290 if (packet_size == U2FHID_PACKET_SIZE) { in u2f_emulated_event_handler()