/dports/net/samba412/samba-4.12.15/source4/libcli/smb_composite/ |
H A D | loadfile.c | 39 union smb_read *io_read; member 99 state->io_read = talloc(c, union smb_read); in loadfile_open() 100 NT_STATUS_HAVE_NO_MEMORY(state->io_read); in loadfile_open() 104 state->io_read->readx.in.offset = 0; in loadfile_open() 106 state->io_read->readx.in.maxcnt = state->io_read->readx.in.mincnt; in loadfile_open() 107 state->io_read->readx.in.remaining = 0; in loadfile_open() 108 state->io_read->readx.in.read_for_execute = false; in loadfile_open() 140 if (state->io_read->readx.in.offset + in loadfile_read() 146 state->io_read->readx.in.offset += state->io_read->readx.out.nread; in loadfile_read() 147 state->io_read->readx.in.mincnt = MIN(32768, io->out.size - state->io_read->readx.in.offset); in loadfile_read() [all …]
|
/dports/net-mgmt/wmi-client/wmi-1.3.16/Samba/source/libcli/smb_composite/ |
H A D | loadfile.c | 40 union smb_read *io_read; member 100 state->io_read = talloc(c, union smb_read); in loadfile_open() 101 NT_STATUS_HAVE_NO_MEMORY(state->io_read); in loadfile_open() 105 state->io_read->readx.in.offset = 0; in loadfile_open() 107 state->io_read->readx.in.maxcnt = state->io_read->readx.in.mincnt; in loadfile_open() 108 state->io_read->readx.in.remaining = 0; in loadfile_open() 109 state->io_read->readx.in.read_for_execute = False; in loadfile_open() 141 if (state->io_read->readx.in.offset + in loadfile_read() 147 state->io_read->readx.in.offset += state->io_read->readx.out.nread; in loadfile_read() 148 state->io_read->readx.in.mincnt = MIN(32768, io->out.size - state->io_read->readx.in.offset); in loadfile_read() [all …]
|
/dports/net-mgmt/wmi-client/wmi-1.3.16/Samba/source/winexe/ |
H A D | async.c | 41 status = smb_raw_read_recv(req, c->io_read); in async_read_recv() 54 c->io_read->readx.out.nread); in async_read_recv() 123 if (c->io_read) { in async_close_recv() 124 talloc_free(c->io_read); in async_close_recv() 125 c->io_read = 0; in async_close_recv() 137 if (!c->io_read) { in async_read() 138 c->io_read = talloc(c->tree, union smb_read); in async_read() 139 c->io_read->readx.level = RAW_READ_READX; in async_read() 140 c->io_read->readx.in.file.fnum = c->fd; in async_read() 141 c->io_read->readx.in.offset = 0; in async_read() [all …]
|
/dports/emulators/qemu42/qemu-4.2.1/util/ |
H A D | aio-win32.c | 28 IOHandler *io_read; member 57 IOHandler *io_read, in aio_set_fd_handler() argument 73 if (io_read || io_write) { in aio_set_fd_handler() 82 if (node->io_read) { in aio_set_fd_handler() 93 node->io_read = io_read; in aio_set_fd_handler() 97 if (io_read) { in aio_set_fd_handler() 191 if (node->io_read) { in aio_prepare() 234 if ((node->pfd.revents & G_IO_IN) && node->io_read) { in aio_pending() 274 (node->io_read || node->io_write)) { in aio_dispatch_handlers() 276 if ((revents & G_IO_IN) && node->io_read) { in aio_dispatch_handlers() [all …]
|
/dports/emulators/qemu-powernv/qemu-powernv-3.0.50/util/ |
H A D | aio-win32.c | 28 IOHandler *io_read; member 41 IOHandler *io_read, in aio_set_fd_handler() argument 57 if (!io_read && !io_write) { in aio_set_fd_handler() 84 if (node->io_read) { in aio_set_fd_handler() 95 node->io_read = io_read; in aio_set_fd_handler() 99 if (io_read) { in aio_set_fd_handler() 200 if (node->io_read) { in aio_prepare() 243 if ((node->pfd.revents & G_IO_IN) && node->io_read) { in aio_pending() 283 (node->io_read || node->io_write)) { in aio_dispatch_handlers() 285 if ((revents & G_IO_IN) && node->io_read) { in aio_dispatch_handlers() [all …]
|
/dports/emulators/qemu-utils/qemu-4.2.1/util/ |
H A D | aio-win32.c | 28 IOHandler *io_read; member 57 IOHandler *io_read, in aio_set_fd_handler() argument 73 if (io_read || io_write) { in aio_set_fd_handler() 82 if (node->io_read) { in aio_set_fd_handler() 93 node->io_read = io_read; in aio_set_fd_handler() 97 if (io_read) { in aio_set_fd_handler() 191 if (node->io_read) { in aio_prepare() 234 if ((node->pfd.revents & G_IO_IN) && node->io_read) { in aio_pending() 274 (node->io_read || node->io_write)) { in aio_dispatch_handlers() 276 if ((revents & G_IO_IN) && node->io_read) { in aio_dispatch_handlers() [all …]
|
/dports/emulators/qemu-cheri/qemu-0a323821042c36e21ea80e58b9545dfc3b0cb8ef/util/ |
H A D | aio-win32.c | 28 IOHandler *io_read; member 57 IOHandler *io_read, in aio_set_fd_handler() argument 73 if (io_read || io_write) { in aio_set_fd_handler() 82 if (node->io_read) { in aio_set_fd_handler() 93 node->io_read = io_read; in aio_set_fd_handler() 97 if (io_read) { in aio_set_fd_handler() 191 if (node->io_read) { in aio_prepare() 234 if ((node->pfd.revents & G_IO_IN) && node->io_read) { in aio_pending() 274 (node->io_read || node->io_write)) { in aio_dispatch_handlers() 276 if ((revents & G_IO_IN) && node->io_read) { in aio_dispatch_handlers() [all …]
|
H A D | aio-posix.c | 92 IOHandler *io_read, in aio_set_fd_handler() argument 108 if (!io_read && !io_write && !io_poll) { in aio_set_fd_handler() 126 new_node->io_read = io_read; in aio_set_fd_handler() 139 new_node->pfd.events = (io_read ? G_IO_IN | G_IO_HUP | G_IO_ERR : 0); in aio_set_fd_handler() 183 EventNotifierHandler *io_read, in aio_set_event_notifier() argument 187 (IOHandler *)io_read, NULL, io_poll, notifier); in aio_set_event_notifier() 263 if (revents & (G_IO_IN | G_IO_HUP | G_IO_ERR) && node->io_read && in aio_pending() 327 node->io_read) { in aio_dispatch_handler() 328 node->io_read(node->opaque); in aio_dispatch_handler()
|
/dports/emulators/qemu5/qemu-5.2.0/util/ |
H A D | aio-win32.c | 29 IOHandler *io_read; member 68 IOHandler *io_read, in aio_set_fd_handler() argument 84 if (io_read || io_write) { in aio_set_fd_handler() 93 if (node->io_read) { in aio_set_fd_handler() 104 node->io_read = io_read; in aio_set_fd_handler() 108 if (io_read) { in aio_set_fd_handler() 200 if (node->io_read) { in aio_prepare() 243 if ((node->pfd.revents & G_IO_IN) && node->io_read) { in aio_pending() 283 (node->io_read || node->io_write)) { in aio_dispatch_handlers() 285 if ((revents & G_IO_IN) && node->io_read) { in aio_dispatch_handlers() [all …]
|
H A D | aio-posix.c | 93 IOHandler *io_read, in aio_set_fd_handler() argument 109 if (!io_read && !io_write && !io_poll) { in aio_set_fd_handler() 127 new_node->io_read = io_read; in aio_set_fd_handler() 140 new_node->pfd.events = (io_read ? G_IO_IN | G_IO_HUP | G_IO_ERR : 0); in aio_set_fd_handler() 184 EventNotifierHandler *io_read, in aio_set_event_notifier() argument 188 (IOHandler *)io_read, NULL, io_poll, notifier); in aio_set_event_notifier() 264 if (revents & (G_IO_IN | G_IO_HUP | G_IO_ERR) && node->io_read && in aio_pending() 328 node->io_read) { in aio_dispatch_handler() 329 node->io_read(node->opaque); in aio_dispatch_handler()
|
/dports/emulators/qemu-guest-agent/qemu-5.0.1/util/ |
H A D | aio-win32.c | 28 IOHandler *io_read; member 57 IOHandler *io_read, in aio_set_fd_handler() argument 73 if (io_read || io_write) { in aio_set_fd_handler() 82 if (node->io_read) { in aio_set_fd_handler() 93 node->io_read = io_read; in aio_set_fd_handler() 97 if (io_read) { in aio_set_fd_handler() 191 if (node->io_read) { in aio_prepare() 234 if ((node->pfd.revents & G_IO_IN) && node->io_read) { in aio_pending() 274 (node->io_read || node->io_write)) { in aio_dispatch_handlers() 276 if ((revents & G_IO_IN) && node->io_read) { in aio_dispatch_handlers() [all …]
|
H A D | aio-posix.c | 92 IOHandler *io_read, in aio_set_fd_handler() argument 108 if (!io_read && !io_write && !io_poll) { in aio_set_fd_handler() 126 new_node->io_read = io_read; in aio_set_fd_handler() 139 new_node->pfd.events = (io_read ? G_IO_IN | G_IO_HUP | G_IO_ERR : 0); in aio_set_fd_handler() 183 EventNotifierHandler *io_read, in aio_set_event_notifier() argument 187 (IOHandler *)io_read, NULL, io_poll, notifier); in aio_set_event_notifier() 263 if (revents & (G_IO_IN | G_IO_HUP | G_IO_ERR) && node->io_read && in aio_pending() 327 node->io_read) { in aio_dispatch_handler() 328 node->io_read(node->opaque); in aio_dispatch_handler()
|
/dports/emulators/qemu-devel/qemu-de8ed1055c2ce18c95f597eb10df360dcb534f99/util/ |
H A D | aio-win32.c | 29 IOHandler *io_read; member 68 IOHandler *io_read, in aio_set_fd_handler() argument 84 if (io_read || io_write) { in aio_set_fd_handler() 93 if (node->io_read) { in aio_set_fd_handler() 104 node->io_read = io_read; in aio_set_fd_handler() 108 if (io_read) { in aio_set_fd_handler() 200 if (node->io_read) { in aio_prepare() 243 if ((node->pfd.revents & G_IO_IN) && node->io_read) { in aio_pending() 283 (node->io_read || node->io_write)) { in aio_dispatch_handlers() 285 if ((revents & G_IO_IN) && node->io_read) { in aio_dispatch_handlers() [all …]
|
/dports/emulators/qemu/qemu-6.2.0/util/ |
H A D | aio-win32.c | 29 IOHandler *io_read; member 68 IOHandler *io_read, in aio_set_fd_handler() argument 84 if (io_read || io_write) { in aio_set_fd_handler() 93 if (node->io_read) { in aio_set_fd_handler() 104 node->io_read = io_read; in aio_set_fd_handler() 108 if (io_read) { in aio_set_fd_handler() 200 if (node->io_read) { in aio_prepare() 243 if ((node->pfd.revents & G_IO_IN) && node->io_read) { in aio_pending() 283 (node->io_read || node->io_write)) { in aio_dispatch_handlers() 285 if ((revents & G_IO_IN) && node->io_read) { in aio_dispatch_handlers() [all …]
|
H A D | aio-posix.c | 93 IOHandler *io_read, in aio_set_fd_handler() argument 109 if (!io_read && !io_write && !io_poll) { in aio_set_fd_handler() 127 new_node->io_read = io_read; in aio_set_fd_handler() 140 new_node->pfd.events = (io_read ? G_IO_IN | G_IO_HUP | G_IO_ERR : 0); in aio_set_fd_handler() 184 EventNotifierHandler *io_read, in aio_set_event_notifier() argument 188 (IOHandler *)io_read, NULL, io_poll, notifier); in aio_set_event_notifier() 264 if (revents & (G_IO_IN | G_IO_HUP | G_IO_ERR) && node->io_read && in aio_pending() 328 node->io_read) { in aio_dispatch_handler() 329 node->io_read(node->opaque); in aio_dispatch_handler()
|
/dports/emulators/qemu60/qemu-6.0.0/util/ |
H A D | aio-win32.c | 29 IOHandler *io_read; member 68 IOHandler *io_read, in aio_set_fd_handler() argument 84 if (io_read || io_write) { in aio_set_fd_handler() 93 if (node->io_read) { in aio_set_fd_handler() 104 node->io_read = io_read; in aio_set_fd_handler() 108 if (io_read) { in aio_set_fd_handler() 200 if (node->io_read) { in aio_prepare() 243 if ((node->pfd.revents & G_IO_IN) && node->io_read) { in aio_pending() 283 (node->io_read || node->io_write)) { in aio_dispatch_handlers() 285 if ((revents & G_IO_IN) && node->io_read) { in aio_dispatch_handlers() [all …]
|
/dports/databases/pg_activity/pg_activity-2.2.0/pgactivity/ |
H A D | activities.py | 47 io_read=IOCounter(read_count, read_bytes, read_chars), 102 (new_proc.io_read.bytes - proc.io_read.bytes) 109 io_read=new_proc.io_read, 139 io_read = IOCounter(count=read_count_delta, bytes=int(read_bytes_delta)) 142 return local_procs, io_read, io_write
|
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/media/i2c/ |
H A D | tda1997x.c | 386 val = io_read(sd, reg); in io_read16() 390 val = io_read(sd, reg + 1); in io_read16() 403 val = io_read(sd, reg); in io_read24() 407 val = io_read(sd, reg + 1); in io_read24() 411 val = io_read(sd, reg + 2); in io_read24() 426 val = io_read(sd, reg + i); in io_readn() 705 reg = io_read(sd, REG_VDP_CTRL); in tda1997x_configure_csc() 861 reg = io_read(sd, REG_VDP_CTRL); in tda1997x_configure_vidout() 1056 reg = io_read(sd, REG_OF); in tda1997x_power_mode() 1062 reg = io_read(sd, REG_OF); in tda1997x_power_mode() [all …]
|
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/media/i2c/ |
H A D | tda1997x.c | 386 val = io_read(sd, reg); in io_read16() 390 val = io_read(sd, reg + 1); in io_read16() 403 val = io_read(sd, reg); in io_read24() 407 val = io_read(sd, reg + 1); in io_read24() 411 val = io_read(sd, reg + 2); in io_read24() 426 val = io_read(sd, reg + i); in io_readn() 705 reg = io_read(sd, REG_VDP_CTRL); in tda1997x_configure_csc() 861 reg = io_read(sd, REG_VDP_CTRL); in tda1997x_configure_vidout() 1056 reg = io_read(sd, REG_OF); in tda1997x_power_mode() 1062 reg = io_read(sd, REG_OF); in tda1997x_power_mode() [all …]
|
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/media/i2c/ |
H A D | tda1997x.c | 386 val = io_read(sd, reg); in io_read16() 390 val = io_read(sd, reg + 1); in io_read16() 403 val = io_read(sd, reg); in io_read24() 407 val = io_read(sd, reg + 1); in io_read24() 411 val = io_read(sd, reg + 2); in io_read24() 426 val = io_read(sd, reg + i); in io_readn() 705 reg = io_read(sd, REG_VDP_CTRL); in tda1997x_configure_csc() 861 reg = io_read(sd, REG_VDP_CTRL); in tda1997x_configure_vidout() 1056 reg = io_read(sd, REG_OF); in tda1997x_power_mode() 1062 reg = io_read(sd, REG_OF); in tda1997x_power_mode() [all …]
|
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue660/ |
H A D | testent.vhdl | 30 signal io_read : std_logic_vector(num_pins-1 downto 0); signal 47 io_read(PORT_B_RANGE); 56 io_read <= std_logic_vector(gpio); 57 gpio_in <= io_read;
|
/dports/databases/pg_activity/pg_activity-2.2.0/tests/ |
H A D | test_activities.py | 64 procs, io_read, io_write = activities.ps_complete( 70 assert io_read == IOCounter.default() 107 procs, io_read, io_write = activities.ps_complete( 113 assert io_read == IOCounter.default()
|
/dports/emulators/vice/vice-3.5/src/pet/ |
H A D | petio.c | 607 return io_read(&petio_8800_head, addr); in petio_8800_read() 625 return io_read(&petio_8900_head, addr); in petio_8900_read() 643 return io_read(&petio_8a00_head, addr); in petio_8a00_read() 661 return io_read(&petio_8b00_head, addr); in petio_8b00_read() 679 return io_read(&petio_8c00_head, addr); in petio_8c00_read() 697 return io_read(&petio_8d00_head, addr); in petio_8d00_read() 715 return io_read(&petio_8e00_head, addr); in petio_8e00_read() 733 return io_read(&petio_8f00_head, addr); in petio_8f00_read() 751 return io_read(&petio_e900_head, addr); in petio_e900_read() 769 return io_read(&petio_ea00_head, addr); in petio_ea00_read() [all …]
|
/dports/emulators/libretro-vice/vice-libretro-5725415/vice/src/pet/ |
H A D | petio.c | 608 return io_read(&petio_8800_head, addr); in petio_8800_read() 626 return io_read(&petio_8900_head, addr); in petio_8900_read() 644 return io_read(&petio_8a00_head, addr); in petio_8a00_read() 662 return io_read(&petio_8b00_head, addr); in petio_8b00_read() 680 return io_read(&petio_8c00_head, addr); in petio_8c00_read() 698 return io_read(&petio_8d00_head, addr); in petio_8d00_read() 716 return io_read(&petio_8e00_head, addr); in petio_8e00_read() 734 return io_read(&petio_8f00_head, addr); in petio_8f00_read() 752 return io_read(&petio_e900_head, addr); in petio_e900_read() 770 return io_read(&petio_ea00_head, addr); in petio_ea00_read() [all …]
|
/dports/audio/goattracker/goattracker-2.76/src/bme/ |
H A D | bme_io.c | 235 int io_read(int index, void *buffer, int length) in io_read() function 288 io_read(index, &byte, 1); in io_read8() 296 io_read(index, bytes, 2); in io_readle16() 304 io_read(index, bytes, 2); in io_readhe16() 312 io_read(index, bytes, 4); in io_readle32() 320 io_read(index, bytes, 4); in io_readhe32()
|