Home
last modified time | relevance | path

Searched refs:msb (Results 1 – 25 of 5924) sorted by relevance

12345678910>>...237

/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/memstick/core/
H A Dms_block.c294 &msb->reg_addr, sizeof(msb->reg_addr)); in msb_read_regs()
315 &msb->reg_addr, sizeof(msb->reg_addr)); in msb_write_regs()
559 msb->page_size) < msb->page_size) in h_msb_write_block()
569 msb->current_sg_offset += msb->page_size; in h_msb_write_block()
990 msb->block_buffer, msb->block_size)) in msb_verify_block()
1634 msb->cache + page * msb->page_size, msb->page_size); in msb_cache_write()
1657 msb->cache + msb->page_size * page, in msb_cache_read()
1728 msb->block_size = msb->page_size * msb->pages_in_block; in msb_init_card()
1946 if (msb && msb->card) in msb_bd_open()
2137 msb->disk->private_data = msb; in msb_init_disk()
[all …]
H A Dmspro_block.c189 if (msb && msb->card) { in mspro_block_bd_open()
210 if (msb) { in mspro_block_disk_release()
607 == (msb->req_sg[msb->current_seg].length in h_mspro_block_transfer_data()
612 if (msb->current_seg == msb->seg_count) { in h_mspro_block_transfer_data()
631 t_offset = msb->req_sg[msb->current_seg].offset; in h_mspro_block_transfer_data()
632 t_offset += msb->current_page * msb->page_size; in h_mspro_block_transfer_data()
702 msb->seg_count = blk_rq_map_sg(msb->block_req->q, in mspro_block_issue_req()
727 msb->data_dir = rq_data_dir(msb->block_req); in mspro_block_issue_req()
728 msb->transfer_cmd = msb->data_dir == READ in mspro_block_issue_req()
1233 msb->disk->private_data = msb; in mspro_block_init_disk()
[all …]
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/memstick/core/
H A Dms_block.c294 &msb->reg_addr, sizeof(msb->reg_addr)); in msb_read_regs()
315 &msb->reg_addr, sizeof(msb->reg_addr)); in msb_write_regs()
559 msb->page_size) < msb->page_size) in h_msb_write_block()
569 msb->current_sg_offset += msb->page_size; in h_msb_write_block()
990 msb->block_buffer, msb->block_size)) in msb_verify_block()
1634 msb->cache + page * msb->page_size, msb->page_size); in msb_cache_write()
1657 msb->cache + msb->page_size * page, in msb_cache_read()
1728 msb->block_size = msb->page_size * msb->pages_in_block; in msb_init_card()
1946 if (msb && msb->card) in msb_bd_open()
2137 msb->disk->private_data = msb; in msb_init_disk()
[all …]
H A Dmspro_block.c189 if (msb && msb->card) { in mspro_block_bd_open()
210 if (msb) { in mspro_block_disk_release()
607 == (msb->req_sg[msb->current_seg].length in h_mspro_block_transfer_data()
612 if (msb->current_seg == msb->seg_count) { in h_mspro_block_transfer_data()
631 t_offset = msb->req_sg[msb->current_seg].offset; in h_mspro_block_transfer_data()
632 t_offset += msb->current_page * msb->page_size; in h_mspro_block_transfer_data()
702 msb->seg_count = blk_rq_map_sg(msb->block_req->q, in mspro_block_issue_req()
727 msb->data_dir = rq_data_dir(msb->block_req); in mspro_block_issue_req()
728 msb->transfer_cmd = msb->data_dir == READ in mspro_block_issue_req()
1233 msb->disk->private_data = msb; in mspro_block_init_disk()
[all …]
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/memstick/core/
H A Dms_block.c294 &msb->reg_addr, sizeof(msb->reg_addr)); in msb_read_regs()
315 &msb->reg_addr, sizeof(msb->reg_addr)); in msb_write_regs()
559 msb->page_size) < msb->page_size) in h_msb_write_block()
569 msb->current_sg_offset += msb->page_size; in h_msb_write_block()
990 msb->block_buffer, msb->block_size)) in msb_verify_block()
1634 msb->cache + page * msb->page_size, msb->page_size); in msb_cache_write()
1657 msb->cache + msb->page_size * page, in msb_cache_read()
1728 msb->block_size = msb->page_size * msb->pages_in_block; in msb_init_card()
1946 if (msb && msb->card) in msb_bd_open()
2137 msb->disk->private_data = msb; in msb_init_disk()
[all …]
H A Dmspro_block.c189 if (msb && msb->card) { in mspro_block_bd_open()
210 if (msb) { in mspro_block_disk_release()
607 == (msb->req_sg[msb->current_seg].length in h_mspro_block_transfer_data()
612 if (msb->current_seg == msb->seg_count) { in h_mspro_block_transfer_data()
631 t_offset = msb->req_sg[msb->current_seg].offset; in h_mspro_block_transfer_data()
632 t_offset += msb->current_page * msb->page_size; in h_mspro_block_transfer_data()
702 msb->seg_count = blk_rq_map_sg(msb->block_req->q, in mspro_block_issue_req()
727 msb->data_dir = rq_data_dir(msb->block_req); in mspro_block_issue_req()
728 msb->transfer_cmd = msb->data_dir == READ in mspro_block_issue_req()
1233 msb->disk->private_data = msb; in mspro_block_init_disk()
[all …]
/dports/graphics/vips/vips-8.12.0/libvips/conversion/
H A Dmsb.c122 p += msb->offset; in vips_msb_gen()
132 p += msb->offset; in vips_msb_gen()
172 msb->instep = VIPS_IMAGE_SIZEOF_ELEMENT( msb->in ); in vips_msb_build()
182 msb->offset += VIPS_IMAGE_SIZEOF_ELEMENT( msb->in ) * in vips_msb_build()
183 msb->band; in vips_msb_build()
184 msb->instep *= msb->in->Bands; in vips_msb_build()
190 msb->band > 0 ) in vips_msb_build()
191 msb->sign = TRUE; in vips_msb_build()
194 msb->sign = TRUE; in vips_msb_build()
220 vips_start_one, vips_msb_gen, vips_stop_one, msb->in, msb ) ) in vips_msb_build()
[all …]
/dports/devel/sunpromake/schily-2021-09-18/libedc/
H A Dedc_ecc_dec.c637 unsigned msb;
698 unsigned msb;
814 unsigned msb; local
840 for (msb = 0; msb < 2; msb++) {
953 gdp->Perapos[msb][j][k] - P_SKIPPED)+msb,
1527 for (msb = 0; msb < 2; msb++) {
1535 retval = correct_P(inout_pq+msb, msb, i,
1536 &gdp->Psyndromes[2*i+msb], gdp->Pcount[msb][i],
1631 for (msb = 0; msb < 2; msb++) {
1638 retval = correct_Q(inout_pq+msb, msb, i,
[all …]
/dports/devel/smake/schily-2021-09-18/libedc/
H A Dedc_ecc_dec.c637 unsigned msb;
698 unsigned msb;
814 unsigned msb; local
840 for (msb = 0; msb < 2; msb++) {
953 gdp->Perapos[msb][j][k] - P_SKIPPED)+msb,
1527 for (msb = 0; msb < 2; msb++) {
1535 retval = correct_P(inout_pq+msb, msb, i,
1536 &gdp->Psyndromes[2*i+msb], gdp->Pcount[msb][i],
1631 for (msb = 0; msb < 2; msb++) {
1638 retval = correct_Q(inout_pq+msb, msb, i,
[all …]
/dports/devel/schilybase/schily-2021-09-18/libedc/
H A Dedc_ecc_dec.c637 unsigned msb;
698 unsigned msb;
814 unsigned msb; local
840 for (msb = 0; msb < 2; msb++) {
953 gdp->Perapos[msb][j][k] - P_SKIPPED)+msb,
1527 for (msb = 0; msb < 2; msb++) {
1535 retval = correct_P(inout_pq+msb, msb, i,
1536 &gdp->Psyndromes[2*i+msb], gdp->Pcount[msb][i],
1631 for (msb = 0; msb < 2; msb++) {
1638 retval = correct_Q(inout_pq+msb, msb, i,
[all …]
/dports/net/rscsi/schily-2021-09-18/libedc/
H A Dedc_ecc_dec.c637 unsigned msb;
698 unsigned msb;
814 unsigned msb; local
840 for (msb = 0; msb < 2; msb++) {
953 gdp->Perapos[msb][j][k] - P_SKIPPED)+msb,
1527 for (msb = 0; msb < 2; msb++) {
1535 retval = correct_P(inout_pq+msb, msb, i,
1536 &gdp->Psyndromes[2*i+msb], gdp->Pcount[msb][i],
1631 for (msb = 0; msb < 2; msb++) {
1638 retval = correct_Q(inout_pq+msb, msb, i,
[all …]
/dports/archivers/star/schily-2021-09-18/libedc/
H A Dedc_ecc_dec.c637 unsigned msb;
698 unsigned msb;
814 unsigned msb; local
840 for (msb = 0; msb < 2; msb++) {
953 gdp->Perapos[msb][j][k] - P_SKIPPED)+msb,
1527 for (msb = 0; msb < 2; msb++) {
1535 retval = correct_P(inout_pq+msb, msb, i,
1536 &gdp->Psyndromes[2*i+msb], gdp->Pcount[msb][i],
1631 for (msb = 0; msb < 2; msb++) {
1638 retval = correct_Q(inout_pq+msb, msb, i,
[all …]
/dports/sysutils/cdrtools/schily-2021-09-18/libedc/
H A Dedc_ecc_dec.c637 unsigned msb;
698 unsigned msb;
814 unsigned msb; local
840 for (msb = 0; msb < 2; msb++) {
953 gdp->Perapos[msb][j][k] - P_SKIPPED)+msb,
1527 for (msb = 0; msb < 2; msb++) {
1535 retval = correct_P(inout_pq+msb, msb, i,
1536 &gdp->Psyndromes[2*i+msb], gdp->Pcount[msb][i],
1631 for (msb = 0; msb < 2; msb++) {
1638 retval = correct_Q(inout_pq+msb, msb, i,
[all …]
/dports/editors/ved/schily-2021-09-18/libedc/
H A Dedc_ecc_dec.c637 unsigned msb;
698 unsigned msb;
814 unsigned msb; local
840 for (msb = 0; msb < 2; msb++) {
953 gdp->Perapos[msb][j][k] - P_SKIPPED)+msb,
1527 for (msb = 0; msb < 2; msb++) {
1535 retval = correct_P(inout_pq+msb, msb, i,
1536 &gdp->Psyndromes[2*i+msb], gdp->Pcount[msb][i],
1631 for (msb = 0; msb < 2; msb++) {
1638 retval = correct_Q(inout_pq+msb, msb, i,
[all …]
/dports/devel/sccs/schily-2021-09-18/libedc/
H A Dedc_ecc_dec.c637 unsigned msb;
698 unsigned msb;
814 unsigned msb; local
840 for (msb = 0; msb < 2; msb++) {
953 gdp->Perapos[msb][j][k] - P_SKIPPED)+msb,
1527 for (msb = 0; msb < 2; msb++) {
1535 retval = correct_P(inout_pq+msb, msb, i,
1536 &gdp->Psyndromes[2*i+msb], gdp->Pcount[msb][i],
1631 for (msb = 0; msb < 2; msb++) {
1638 retval = correct_Q(inout_pq+msb, msb, i,
[all …]
/dports/sysutils/schilyutils/schily-2021-09-18/libedc/
H A Dedc_ecc_dec.c637 unsigned msb;
698 unsigned msb;
814 unsigned msb; local
840 for (msb = 0; msb < 2; msb++) {
953 gdp->Perapos[msb][j][k] - P_SKIPPED)+msb,
1527 for (msb = 0; msb < 2; msb++) {
1535 retval = correct_P(inout_pq+msb, msb, i,
1536 &gdp->Psyndromes[2*i+msb], gdp->Pcount[msb][i],
1631 for (msb = 0; msb < 2; msb++) {
1638 retval = correct_Q(inout_pq+msb, msb, i,
[all …]
/dports/multimedia/mplex/mplex-1.1/
H A Dtimecode.c11 timecode->msb=0;
18 offset->msb = time2->msb - time1->msb;
26 time_copy->msb=time_original->msb;
35 pointer->msb=1;
40 pointer->msb=0;
50 to->msb = (add->msb ^ to->msb);
57 to->msb = to->msb ^ 1;
66 to->msb = to->msb ^
98 temp = (marker << 4) | (pointer->msb <<3) |
126 Time1 = (TS1->msb * MAX_FFFFFFFF) + (TS1->lsb);
[all …]
/dports/lang/eisl/eisl-2.35/
H A Dbignum.c416 msb = next(msb); in get_nth()
436 msb = cons_next(0, msb); in gen_n()
456 msb = prev(msb); in cut_zero()
538 msb = cons_next(0, msb); in bigx_shift()
562 msb = cons_next(y, msb); in bigx_abs()
628 msb = cons_next(q, msb); in bigx_plus1()
650 msb = cons_next(q, msb); in bigx_plus2()
818 msb = next(msb); in bigx_mult1()
1033 msb = prev(msb); in bigx_big_to_flt()
1074 msb = prev(msb); in bigx_remainder_i()
[all …]
/dports/devel/avr-gdb/gdb-7.3.1/sim/testsuite/common/
H A Dbits-gen.c49 int msb, in gen_bit() argument
61 if (msb == 0) in gen_bit()
102 const char *msb, in gen_mask() argument
126 if (strcmp (msb, "MS") == 0) in gen_mask()
202 int msb; local
217 msb = 0;
219 msb = 31;
221 msb = 63;
224 if (msb == 0)
252 gen_bit ( 4, msb, "BIT4", 4);
[all …]
/dports/devel/gdb761/gdb-7.6.1/sim/testsuite/common/
H A Dbits-gen.c48 int msb, in gen_bit() argument
60 if (msb == 0) in gen_bit()
101 const char *msb, in gen_mask() argument
125 if (strcmp (msb, "MS") == 0) in gen_mask()
201 int msb; local
216 msb = 0;
218 msb = 31;
220 msb = 63;
223 if (msb == 0)
251 gen_bit ( 4, msb, "BIT4", 4);
[all …]
/dports/devel/zpu-binutils/zpu-toolchain-1.0/toolchain/gdb/sim/testsuite/common/
H A Dbits-gen.c49 int msb, in gen_bit() argument
61 if (msb == 0) in gen_bit()
102 const char *msb, in gen_mask() argument
126 if (strcmp (msb, "MS") == 0) in gen_mask()
202 int msb; local
217 msb = 0;
219 msb = 31;
221 msb = 63;
224 if (msb == 0)
252 gen_bit ( 4, msb, "BIT4", 4);
[all …]
/dports/devel/zpu-gcc/zpu-toolchain-1.0/toolchain/gdb/sim/testsuite/common/
H A Dbits-gen.c49 int msb, in gen_bit() argument
61 if (msb == 0) in gen_bit()
102 const char *msb, in gen_mask() argument
126 if (strcmp (msb, "MS") == 0) in gen_mask()
202 int msb; local
217 msb = 0;
219 msb = 31;
221 msb = 63;
224 if (msb == 0)
252 gen_bit ( 4, msb, "BIT4", 4);
[all …]
/dports/www/fabio/fabio-1.5.14/vendor/github.com/Shopify/sarama/sarama-1.19.0/
H A Dmessage_set.go11 if msb.Msg.Set != nil {
12 return msb.Msg.Set.Messages
14 return []*MessageBlock{msb}
18 pe.putInt64(msb.Offset)
20 err := msb.Msg.encode(pe)
36 msb.Msg = new(Message)
37 if err = msb.Msg.decode(pd); err != nil {
81 msb := new(MessageBlock)
82 err = msb.decode(pd)
85 ms.Messages = append(ms.Messages, msb)
[all …]
/dports/www/fabio/fabio-1.5.14/vendor/github.com/Shopify/sarama/
H A Dmessage_set.go11 if msb.Msg.Set != nil {
12 return msb.Msg.Set.Messages
14 return []*MessageBlock{msb}
18 pe.putInt64(msb.Offset)
20 err := msb.Msg.encode(pe)
36 msb.Msg = new(Message)
37 if err = msb.Msg.decode(pd); err != nil {
81 msb := new(MessageBlock)
82 err = msb.decode(pd)
85 ms.Messages = append(ms.Messages, msb)
[all …]
/dports/net-im/dendrite/dendrite-0.5.1/vendor/github.com/Shopify/sarama/
H A Dmessage_set.go11 if msb.Msg.Set != nil {
12 return msb.Msg.Set.Messages
14 return []*MessageBlock{msb}
18 pe.putInt64(msb.Offset)
20 err := msb.Msg.encode(pe)
39 msb.Msg = new(Message)
40 if err = msb.Msg.decode(pd); err != nil {
84 msb := new(MessageBlock)
85 err = msb.decode(pd)
88 ms.Messages = append(ms.Messages, msb)
[all …]

12345678910>>...237