Home
last modified time | relevance | path

Searched refs:op (Results 226 – 250 of 62451) sorted by relevance

12345678910>>...2499

/dports/graphics/blender/blender-2.91.0/release/scripts/addons/presets/operator/mesh.landscape_add/
H A Dvolcano.py5 op.land_material = ''
7 op.texture_block = ''
8 op.at_cursor = True
10 op.tri_face = False
14 op.mesh_size = 2.0
17 op.random_seed = 7
35 op.offset = 1.0
36 op.gain = 2.0
46 op.fx_bias = '0'
48 op.fx_depth = 2
[all …]
/dports/net/openldap24-client/openldap-2.4.59/servers/slapd/
H A Dbind.c166 op->o_log_prefix, op->o_req_dn.bv_val, in do_bind()
212 op->o_conn->c_authtype = op->orb_method; in do_bind()
276 op->o_conn->c_sasl_bindop = op; in fe_op_bind()
344 op->o_protocol, op->o_req_ndn.bv_val, 0 ); in fe_op_bind()
355 op->o_protocol, op->orb_method, 0 ); in fe_op_bind()
383 rs->sr_err = (op->o_bd->be_bind)( op, rs ); in fe_op_bind()
409 op->o_conn->c_authz_backend = op->o_bd; in fe_op_bind_success()
414 op->o_conn->c_dn = op->orb_edn; in fe_op_bind_success()
416 ber_dupbv(&op->o_conn->c_dn, &op->o_req_dn); in fe_op_bind_success()
419 ber_dupbv( &op->o_conn->c_ndn, &op->o_req_ndn ); in fe_op_bind_success()
[all …]
/dports/net/openldap24-server/openldap-2.4.59/servers/slapd/
H A Dbind.c166 op->o_log_prefix, op->o_req_dn.bv_val, in do_bind()
212 op->o_conn->c_authtype = op->orb_method; in do_bind()
276 op->o_conn->c_sasl_bindop = op; in fe_op_bind()
344 op->o_protocol, op->o_req_ndn.bv_val, 0 ); in fe_op_bind()
355 op->o_protocol, op->orb_method, 0 ); in fe_op_bind()
383 rs->sr_err = (op->o_bd->be_bind)( op, rs ); in fe_op_bind()
409 op->o_conn->c_authz_backend = op->o_bd; in fe_op_bind_success()
414 op->o_conn->c_dn = op->orb_edn; in fe_op_bind_success()
416 ber_dupbv(&op->o_conn->c_dn, &op->o_req_dn); in fe_op_bind_success()
419 ber_dupbv( &op->o_conn->c_ndn, &op->o_req_ndn ); in fe_op_bind_success()
[all …]
/dports/devel/radare2/radare2-5.1.1/libr/anal/p/
H A Danal_6502.c53 op->cycles = 2; in _6502_anal_esil_get_addr_pattern1()
57 op->cycles = 3; in _6502_anal_esil_get_addr_pattern1()
61 op->cycles = 4; in _6502_anal_esil_get_addr_pattern1()
65 op->cycles = 4; in _6502_anal_esil_get_addr_pattern1()
70 op->cycles = 4; in _6502_anal_esil_get_addr_pattern1()
75 op->cycles = 4; in _6502_anal_esil_get_addr_pattern1()
79 op->cycles = 6; in _6502_anal_esil_get_addr_pattern1()
84 op->cycles = 5; in _6502_anal_esil_get_addr_pattern1()
724 op->jump = addr + data[1] + op->size; in _6502_op()
726 op->jump = addr - (256 - data[1]) + op->size; in _6502_op()
[all …]
H A Danal_rsp.c28 if (!op) { in rsp_op()
33 op->size = 4; in rsp_op()
34 op->addr = addr; in rsp_op()
299 op->src[0]->memref = op->refptr = 1; in rsp_op()
307 op->src[0]->memref = op->refptr = 2; in rsp_op()
315 op->src[0]->memref = op->refptr = 4; in rsp_op()
322 op->src[0]->memref = op->refptr = 1; in rsp_op()
329 op->src[0]->memref = op->refptr = 2; in rsp_op()
336 op->dst->memref = op->refptr = 1; in rsp_op()
343 op->dst->memref = op->refptr = 2; in rsp_op()
[all …]
/dports/games/kodi-addon-game.libretro.picodrive/game.libretro.picodrive-1.97.0.19-Matrix/depends/common/picodrive/cpu/cyclone/
H A DOpAny.cpp225 if ((op&0xf100)==0x0000) OpArith(op); // + in OpAny()
226 if ((op&0xc000)==0x0000) OpMove(op); // + in OpAny()
228 if ((op&0xf100)==0x0100) OpBtstReg(op); // + in OpAny()
229 if ((op&0xf138)==0x0108) OpMovep(op); // + in OpAny()
230 if ((op&0xff00)==0x0800) OpBtstImm(op); // + in OpAny()
231 if ((op&0xf900)==0x4000) OpNeg(op); // + in OpAny()
232 if ((op&0xf140)==0x4100) OpChk(op); // + in OpAny()
233 if ((op&0xf1c0)==0x41c0) OpLea(op); // + in OpAny()
234 if ((op&0xf9c0)==0x40c0) OpMoveSr(op); // + in OpAny()
235 if ((op&0xffc0)==0x4800) OpNbcd(op); // + in OpAny()
[all …]
/dports/emulators/mednafen/mednafen/src/snes/src/chip/superfx/core/
H A Dopcode_table.cpp6 op(id+ 0, name< 1>) op(id+ 1, name< 2>) op(id+ 2, name< 3>) op(id+ 3, name< 4>) in do_op()
9 op(id+ 0, name< 8>) op(id+ 1, name< 9>) op(id+ 2, name<10>) op(id+ 3, name<11>) \ in do_op()
13 op(id+ 0, name< 0>) op(id+ 1, name< 1>) op(id+ 2, name< 2>) op(id+ 3, name< 3>) \ in do_op()
14 op(id+ 4, name< 4>) op(id+ 5, name< 5>) op(id+ 6, name< 6>) op(id+ 7, name< 7>) \ in do_op()
15 op(id+ 8, name< 8>) op(id+ 9, name< 9>) op(id+10, name<10>) op(id+11, name<11>) in do_op()
18 op(id+ 0, name< 0>) op(id+ 1, name< 1>) op(id+ 2, name< 2>) op(id+ 3, name< 3>) \ in do_op()
19 op(id+ 4, name< 4>) op(id+ 5, name< 5>) op(id+ 6, name< 6>) op(id+ 7, name< 7>) \ in do_op()
20 op(id+ 8, name< 8>) op(id+ 9, name< 9>) op(id+10, name<10>) op(id+11, name<11>) \ in do_op()
24 op(id+ 0, name< 1>) op(id+ 1, name< 2>) op(id+ 2, name< 3>) op(id+ 3, name< 4>) \ in do_op()
25 op(id+ 4, name< 5>) op(id+ 5, name< 6>) op(id+ 6, name< 7>) op(id+ 7, name< 8>) \ in do_op()
[all …]
/dports/math/reduce/Reduce-svn5758-src/csl/cslbase/
H A Ddispatch.h2345 static bool op(Rat b);
2348 static bool op(Cpx b);
2350 static bool op(Flt b);
2415 static bool op(Rat b);
2416 static bool op(Cpx b);
2418 static bool op(Flt b);
2432 static bool op(Rat b);
2433 static bool op(Cpx b);
2435 static bool op(Flt b);
2449 static bool op(Rat b);
[all …]
/dports/www/chromium-legacy/chromium-88.0.4324.182/third_party/angle/third_party/VK-GL-CTS/src/external/vulkancts/modules/vulkan/shaderrender/
H A DvktShaderRenderLoopTests.cpp359 op << "};\n"; in createGenericLoopCase()
369 op << "};\n"; in createGenericLoopCase()
377 op << "};\n"; in createGenericLoopCase()
472 op << " {\n"; in createGenericLoopCase()
474 op << " }\n"; in createGenericLoopCase()
480 op << " {\n"; in createGenericLoopCase()
483 op << " }\n"; in createGenericLoopCase()
489 op << " {\n"; in createGenericLoopCase()
578 op << "};\n"; in createSpecialLoopCase()
602 op << "};\n"; in createSpecialLoopCase()
[all …]
/dports/www/grafana8/grafana-8.3.6/vendor/github.com/ohler55/ojg/
H A Dbenchmarks.md12 json.Unmarshal 55916 ns/op 17776 B/op 334 allocs/op
13 oj.Parse 39570 ns/op 18488 B/op 429 allocs/op
14 oj-reuse.Parse 17881 ns/op 5691 B/op 364 allocs/op
15 gen.Parse 28670 ns/op 18488 B/op 429 allocs/op
16 gen-reuse.Parse 19619 ns/op 5691 B/op 364 allocs/op
17 sen.Parse 30486 ns/op 18488 B/op 431 allocs/op
18 sen-reuse.Parse 20018 ns/op 5708 B/op 366 allocs/op
29 json.Unmarshal 44513 ns/op 5944 B/op 122 allocs/op
30 oj.Unmarshal 41010 ns/op 9705 B/op 457 allocs/op
31 sen.Unmarshal 41763 ns/op 9690 B/op 457 allocs/op
[all …]
/dports/textproc/regex2dfa/regex2dfa-0.1.6/third_party/re2/benchlog/
H A Dbenchlog.wreck512 Parse_Split_RE2 50000 20898 ns/op
523 BM_PCRE_Compile 200000 5937 ns/op
524 BM_RE2_Compile 50000 22091 ns/op
577 DotMatchPCRE 2000000 847 ns/op
578 DotMatchRE2 1000000 1055 ns/op
579 ASCIIMatchPCRE 5000000 470 ns/op
580 ASCIIMatchRE2 1000000 1051 ns/op
1002 BM_RE2_Compile 100000 21683 ns/op
1055 DotMatchPCRE 2000000 837 ns/op
1056 DotMatchRE2 1000000 1043 ns/op
[all …]
H A Dbenchlog.r70677 Parse_Split_RE2 100000 14060 ns/op
689 BM_RE2_Compile 100000 13961 ns/op
742 DotMatchPCRE 2000000 860 ns/op
743 DotMatchRE2 1000000 1175 ns/op
744 ASCIIMatchPCRE 2000000 767 ns/op
745 ASCIIMatchRE2 1000000 1174 ns/op
1419 BM_RE2_Compile 200000 14117 ns/op
1472 DotMatchPCRE 2000000 863 ns/op
1473 DotMatchRE2 1000000 1080 ns/op
1474 ASCIIMatchPCRE 2000000 780 ns/op
[all …]
/dports/www/chromium-legacy/chromium-88.0.4324.182/third_party/re2/src/benchlog/
H A Dbenchlog.wreck512 Parse_Split_RE2 50000 20898 ns/op
523 BM_PCRE_Compile 200000 5937 ns/op
524 BM_RE2_Compile 50000 22091 ns/op
577 DotMatchPCRE 2000000 847 ns/op
578 DotMatchRE2 1000000 1055 ns/op
579 ASCIIMatchPCRE 5000000 470 ns/op
580 ASCIIMatchRE2 1000000 1051 ns/op
1002 BM_RE2_Compile 100000 21683 ns/op
1055 DotMatchPCRE 2000000 837 ns/op
1056 DotMatchRE2 1000000 1043 ns/op
[all …]
/dports/www/qt5-webengine/qtwebengine-everywhere-src-5.15.2/src/3rdparty/chromium/third_party/re2/src/benchlog/
H A Dbenchlog.wreck512 Parse_Split_RE2 50000 20898 ns/op
523 BM_PCRE_Compile 200000 5937 ns/op
524 BM_RE2_Compile 50000 22091 ns/op
577 DotMatchPCRE 2000000 847 ns/op
578 DotMatchRE2 1000000 1055 ns/op
579 ASCIIMatchPCRE 5000000 470 ns/op
580 ASCIIMatchRE2 1000000 1051 ns/op
1002 BM_RE2_Compile 100000 21683 ns/op
1055 DotMatchPCRE 2000000 837 ns/op
1056 DotMatchRE2 1000000 1043 ns/op
[all …]
H A Dbenchlog.r70677 Parse_Split_RE2 100000 14060 ns/op
689 BM_RE2_Compile 100000 13961 ns/op
742 DotMatchPCRE 2000000 860 ns/op
743 DotMatchRE2 1000000 1175 ns/op
744 ASCIIMatchPCRE 2000000 767 ns/op
745 ASCIIMatchRE2 1000000 1174 ns/op
1419 BM_RE2_Compile 200000 14117 ns/op
1472 DotMatchPCRE 2000000 863 ns/op
1473 DotMatchRE2 1000000 1080 ns/op
1474 ASCIIMatchPCRE 2000000 780 ns/op
[all …]
/dports/games/warzone2100/warzone2100/3rdparty/re2/benchlog/
H A Dbenchlog.wreck512 Parse_Split_RE2 50000 20898 ns/op
523 BM_PCRE_Compile 200000 5937 ns/op
524 BM_RE2_Compile 50000 22091 ns/op
577 DotMatchPCRE 2000000 847 ns/op
578 DotMatchRE2 1000000 1055 ns/op
579 ASCIIMatchPCRE 5000000 470 ns/op
580 ASCIIMatchRE2 1000000 1051 ns/op
1002 BM_RE2_Compile 100000 21683 ns/op
1055 DotMatchPCRE 2000000 837 ns/op
1056 DotMatchRE2 1000000 1043 ns/op
[all …]
H A Dbenchlog.r70677 Parse_Split_RE2 100000 14060 ns/op
689 BM_RE2_Compile 100000 13961 ns/op
742 DotMatchPCRE 2000000 860 ns/op
743 DotMatchRE2 1000000 1175 ns/op
744 ASCIIMatchPCRE 2000000 767 ns/op
745 ASCIIMatchRE2 1000000 1174 ns/op
1419 BM_RE2_Compile 200000 14117 ns/op
1472 DotMatchPCRE 2000000 863 ns/op
1473 DotMatchRE2 1000000 1080 ns/op
1474 ASCIIMatchPCRE 2000000 780 ns/op
[all …]
/dports/devel/re2/re2-2021-11-01/benchlog/
H A Dbenchlog.wreck512 Parse_Split_RE2 50000 20898 ns/op
523 BM_PCRE_Compile 200000 5937 ns/op
524 BM_RE2_Compile 50000 22091 ns/op
577 DotMatchPCRE 2000000 847 ns/op
578 DotMatchRE2 1000000 1055 ns/op
579 ASCIIMatchPCRE 5000000 470 ns/op
580 ASCIIMatchRE2 1000000 1051 ns/op
1002 BM_RE2_Compile 100000 21683 ns/op
1055 DotMatchPCRE 2000000 837 ns/op
1056 DotMatchRE2 1000000 1043 ns/op
[all …]
H A Dbenchlog.r70677 Parse_Split_RE2 100000 14060 ns/op
689 BM_RE2_Compile 100000 13961 ns/op
742 DotMatchPCRE 2000000 860 ns/op
743 DotMatchRE2 1000000 1175 ns/op
744 ASCIIMatchPCRE 2000000 767 ns/op
745 ASCIIMatchRE2 1000000 1174 ns/op
1419 BM_RE2_Compile 200000 14117 ns/op
1472 DotMatchPCRE 2000000 863 ns/op
1473 DotMatchRE2 1000000 1080 ns/op
1474 ASCIIMatchPCRE 2000000 780 ns/op
[all …]
/dports/net/ooni-probe-cli/probe-cli-3.10.1/vendor/github.com/ooni/psiphon/oopsi/github.com/redjack/marionette/third_party/re2/benchlog/
H A Dbenchlog.wreck512 Parse_Split_RE2 50000 20898 ns/op
523 BM_PCRE_Compile 200000 5937 ns/op
524 BM_RE2_Compile 50000 22091 ns/op
577 DotMatchPCRE 2000000 847 ns/op
578 DotMatchRE2 1000000 1055 ns/op
579 ASCIIMatchPCRE 5000000 470 ns/op
580 ASCIIMatchRE2 1000000 1051 ns/op
1002 BM_RE2_Compile 100000 21683 ns/op
1055 DotMatchPCRE 2000000 837 ns/op
1056 DotMatchRE2 1000000 1043 ns/op
[all …]
H A Dbenchlog.r70677 Parse_Split_RE2 100000 14060 ns/op
689 BM_RE2_Compile 100000 13961 ns/op
742 DotMatchPCRE 2000000 860 ns/op
743 DotMatchRE2 1000000 1175 ns/op
744 ASCIIMatchPCRE 2000000 767 ns/op
745 ASCIIMatchRE2 1000000 1174 ns/op
1419 BM_RE2_Compile 200000 14117 ns/op
1472 DotMatchPCRE 2000000 863 ns/op
1473 DotMatchRE2 1000000 1080 ns/op
1474 ASCIIMatchPCRE 2000000 780 ns/op
[all …]
/dports/net/ooni-mini/probe-engine-0.23.0/vendor/github.com/ooni/psiphon/oopsi/github.com/redjack/marionette/third_party/re2/benchlog/
H A Dbenchlog.wreck512 Parse_Split_RE2 50000 20898 ns/op
523 BM_PCRE_Compile 200000 5937 ns/op
524 BM_RE2_Compile 50000 22091 ns/op
577 DotMatchPCRE 2000000 847 ns/op
578 DotMatchRE2 1000000 1055 ns/op
579 ASCIIMatchPCRE 5000000 470 ns/op
580 ASCIIMatchRE2 1000000 1051 ns/op
1002 BM_RE2_Compile 100000 21683 ns/op
1055 DotMatchPCRE 2000000 837 ns/op
1056 DotMatchRE2 1000000 1043 ns/op
[all …]
H A Dbenchlog.r70677 Parse_Split_RE2 100000 14060 ns/op
689 BM_RE2_Compile 100000 13961 ns/op
742 DotMatchPCRE 2000000 860 ns/op
743 DotMatchRE2 1000000 1175 ns/op
744 ASCIIMatchPCRE 2000000 767 ns/op
745 ASCIIMatchRE2 1000000 1174 ns/op
1419 BM_RE2_Compile 200000 14117 ns/op
1472 DotMatchPCRE 2000000 863 ns/op
1473 DotMatchRE2 1000000 1080 ns/op
1474 ASCIIMatchPCRE 2000000 780 ns/op
[all …]
/dports/net/pacemaker2/pacemaker-Pacemaker-2.1.1/daemons/fenced/
H A Dfenced_remote.c158 data.op = op; in count_peer_devices()
318 op->target, op->client_name, op->id); in op_phase_on()
583 op->action, op->target, op->client_name, op->id); in remote_op_watchdog_done()
597 " id=%.8s", op->action, op->target, op->client_name, op->id); in remote_op_timeout_one()
612 op->action, op->target, op->client_name, op->id); in remote_op_timeout()
618 op->action, op->target, op->client_name, op->id); in remote_op_timeout()
870 op->target, op->client_name, op->originator, op->id); in advance_topology_level()
1359 timeout.op = op; in get_peer_timeout()
1598 op->target, op->action, op->client_name, op->id); in call_remote_stonith()
1607 op->target, op->action, op->client_name, op->id); in call_remote_stonith()
[all …]
/dports/lang/halide/Halide-release_2019_08_27-2654-g664dc4993/src/
H A DIRMutator.cpp23 return op; in visit()
26 return op; in visit()
29 return op; in visit()
32 return op; in visit()
35 return op; in visit()
169 return Call::make(op->type, op->name, new_args, op->call_type, in visit()
170 op->func, op->value_index, op->image, op->param); in visit()
279 return Allocate::make(op->name, op->type, op->memory_type, in visit()
302 return Realize::make(op->name, op->types, op->memory_type, new_bounds, in visit()
321 return Prefetch::make(op->name, op->types, new_bounds, op->prefetch, in visit()
[all …]

12345678910>>...2499