Lines Matching refs:set_property

117 set_property -name "board_part" -value "digilentinc.com:zybo-z7-10:part0:1.0" -objects $obj
118 set_property -name "board_part_repo_paths" -value "/home/trisset/.Xilinx/Vivado/2019.1/xhub/board_s…
119 set_property -name "default_lib" -value "xil_defaultlib" -objects $obj
120 set_property -name "dsa.accelerator_binary_content" -value "bitstream" -objects $obj
121 set_property -name "dsa.accelerator_binary_format" -value "xclbin2" -objects $obj
122 set_property -name "dsa.board_id" -value "zybo-z7-10" -objects $obj
123 set_property -name "dsa.description" -value "Vivado generated DSA" -objects $obj
124 set_property -name "dsa.dr_bd_base_address" -value "0" -objects $obj
125 set_property -name "dsa.emu_dir" -value "emu" -objects $obj
126 set_property -name "dsa.flash_interface_type" -value "bpix16" -objects $obj
127 set_property -name "dsa.flash_offset_address" -value "0" -objects $obj
128 set_property -name "dsa.flash_size" -value "1024" -objects $obj
129 set_property -name "dsa.host_architecture" -value "x86_64" -objects $obj
130 set_property -name "dsa.host_interface" -value "pcie" -objects $obj
131 set_property -name "dsa.num_compute_units" -value "60" -objects $obj
132 set_property -name "dsa.platform_state" -value "pre_synth" -objects $obj
133 set_property -name "dsa.vendor" -value "xilinx" -objects $obj
134 set_property -name "dsa.version" -value "0.0" -objects $obj
135 set_property -name "enable_vhdl_2008" -value "1" -objects $obj
136 set_property -name "ip_cache_permissions" -value "read write" -objects $obj
137 set_property -name "ip_output_repo" -value "$proj_dir/${_xil_proj_name_}.cache/ip" -objects $obj
138 set_property -name "mem.enable_memory_map_generation" -value "1" -objects $obj
139 set_property -name "sim.central_dir" -value "$proj_dir/${_xil_proj_name_}.ip_user_files" -objects $…
140 set_property -name "sim.ip.auto_export_scripts" -value "1" -objects $obj
141 set_property -name "simulator_language" -value "Mixed" -objects $obj
142 set_property -name "target_language" -value "VHDL" -objects $obj
143 set_property -name "webtalk.activehdl_export_sim" -value "6" -objects $obj
144 set_property -name "webtalk.ies_export_sim" -value "6" -objects $obj
145 set_property -name "webtalk.modelsim_export_sim" -value "6" -objects $obj
146 set_property -name "webtalk.questa_export_sim" -value "6" -objects $obj
147 set_property -name "webtalk.riviera_export_sim" -value "6" -objects $obj
148 set_property -name "webtalk.vcs_export_sim" -value "6" -objects $obj
149 set_property -name "webtalk.xsim_export_sim" -value "6" -objects $obj
150 set_property -name "webtalk.xsim_launch_sim" -value "6" -objects $obj
151 set_property -name "xpm_libraries" -value "XPM_CDC" -objects $obj
185 set_property -name "file_type" -value "VHDL" -objects $file_obj
189 set_property -name "file_type" -value "VHDL" -objects $file_obj
193 set_property -name "file_type" -value "VHDL" -objects $file_obj
197 set_property -name "file_type" -value "VHDL" -objects $file_obj
201 set_property -name "file_type" -value "VHDL" -objects $file_obj
205 set_property -name "file_type" -value "VHDL" -objects $file_obj
209 set_property -name "file_type" -value "VHDL" -objects $file_obj
214 set_property -name "top" -value "main_wrapper" -objects $obj
215 set_property -name "top_auto_set" -value "0" -objects $obj
230 set_property -name "file_type" -value "XDC" -objects $file_obj
256 set_property -name "top" -value "main_wrapper" -objects $obj
257 set_property -name "top_auto_set" -value "0" -objects $obj
258 set_property -name "top_lib" -value "xil_defaultlib" -objects $obj
405 set_property -dict [ list \
417 set_property -dict [ list \
439 set_property -dict [ list \
594 set_property REGISTERED_WITH_MANAGER "1" [get_files main.bd ]
595 set_property SYNTH_CHECKPOINT_MODE "Hierarchical" [get_files main.bd ]
601 set_property strategy "Vivado Synthesis Defaults" [get_runs synth_1]
602 set_property flow "Vivado Synthesis 2019" [get_runs synth_1]
605 set_property set_report_strategy_name 1 $obj
606 set_property report_strategy {Vivado Synthesis Default Reports} $obj
607 set_property set_report_strategy_name 0 $obj
617 set_property -name "strategy" -value "Vivado Synthesis Defaults" -objects $obj
626 set_property strategy "Vivado Implementation Defaults" [get_runs impl_1]
627 set_property flow "Vivado Implementation 2019" [get_runs impl_1]
630 set_property set_report_strategy_name 1 $obj
631 set_property report_strategy {Vivado Implementation Default Reports} $obj
632 set_property set_report_strategy_name 0 $obj
639 set_property -name "is_enabled" -value "0" -objects $obj
640 set_property -name "options.max_paths" -value "10" -objects $obj
657 set_property -name "is_enabled" -value "0" -objects $obj
658 set_property -name "options.max_paths" -value "10" -objects $obj
667 set_property -name "is_enabled" -value "0" -objects $obj
668 set_property -name "options.max_paths" -value "10" -objects $obj
693 set_property -name "options.verbose" -value "1" -objects $obj
702 set_property -name "is_enabled" -value "0" -objects $obj
711 set_property -name "is_enabled" -value "0" -objects $obj
720 set_property -name "is_enabled" -value "0" -objects $obj
721 set_property -name "options.max_paths" -value "10" -objects $obj
730 set_property -name "is_enabled" -value "0" -objects $obj
731 set_property -name "options.max_paths" -value "10" -objects $obj
740 set_property -name "is_enabled" -value "0" -objects $obj
741 set_property -name "options.max_paths" -value "10" -objects $obj
782 set_property -name "options.max_paths" -value "10" -objects $obj
807 set_property -name "options.warn_on_violation" -value "1" -objects $obj
816 set_property -name "options.max_paths" -value "10" -objects $obj
817 set_property -name "options.warn_on_violation" -value "1" -objects $obj
826 set_property -name "options.warn_on_violation" -value "1" -objects $obj
830 set_property -name "strategy" -value "Vivado Implementation Defaults" -objects $obj
831 set_property -name "steps.write_bitstream.args.readback_file" -value "0" -objects $obj
832 set_property -name "steps.write_bitstream.args.verbose" -value "0" -objects $obj
843 set_property -name "reports" -value "impl_1#impl_1_route_report_drc_0" -objects $obj
850 set_property -name "reports" -value "impl_1#impl_1_route_report_methodology_0" -objects $obj
857 set_property -name "reports" -value "impl_1#impl_1_route_report_power_0" -objects $obj
864 set_property -name "reports" -value "impl_1#impl_1_route_report_timing_summary_0" -objects $obj
871 set_property -name "reports" -value "synth_1#synth_1_synth_report_utilization_0" -objects $obj
872 set_property -name "run.step" -value "synth_design" -objects $obj
873 set_property -name "run.type" -value "synthesis" -objects $obj
880 set_property -name "reports" -value "impl_1#impl_1_place_report_utilization_0" -objects $obj