Lines Matching refs:WA

15    localparam WA = 8;  // address dimension size  constant
21 logic [WA-1:0] [WB-1:0] array_bg; // big endian array
23 logic [0:WA-1] [0:WB-1] array_lt; // little endian array
61 else if (cnt[30:2]==1) array_bg <= {WA *WB +0{1'b1}};
62 else if (cnt[30:2]==2) array_bg [WA/2-1:0 ] <= {WA/2*WB +0{1'b1}};
63 else if (cnt[30:2]==3) array_bg [WA -1:WA/2] <= {WA/2*WB +0{1'b1}};
65 else if (cnt[30:2]==5) array_bg [WA -1 ] <= {1 *WB +0{1'b1}};
67 else if (cnt[30:2]==7) array_bg [WA -1 ][WB -1:WB/2] <= {1 *WB/2+0{1'b1}};
69 else if (cnt[30:2]==9) array_bg [WA -1 ][WB -1 ] <= {1 *1 +0{1'b1}};
84 …if (cnt[30:2]==0) begin if (array_bg !== {WA *WB {1'b0}}) $st…
85 …else if (cnt[30:2]==1) begin if (array_bg !== {WA *WB +0{1'b1}}) $st…
86 …else if (cnt[30:2]==2) begin if (array_bg [WA/2-1:0 ] !== {WA/2*WB +0{1'b1}}) $st…
87 …else if (cnt[30:2]==3) begin if (array_bg [WA -1:WA/2] !== {WA/2*WB +0{1'b1}}) $st…
89 …else if (cnt[30:2]==5) begin if (array_bg [WA -1 ] !== {1 *WB +0{1'b1}}) $st…
91 …else if (cnt[30:2]==7) begin if (array_bg [WA -1 ][WB -1:WB/2] !== {1 *WB/2+0{1'b1}}) $st…
93 …else if (cnt[30:2]==9) begin if (array_bg [WA -1 ][WB -1 ] !== {1 *1 +0{1'b1}}) $st…
113 else if (cnt[30:2]==1) array_lt <= {WA *WB +0{1'b1}};
114 else if (cnt[30:2]==2) array_lt [0 :WA/2-1] <= {WA/2*WB +0{1'b1}};
115 else if (cnt[30:2]==3) array_lt [WA/2:WA -1] <= {WA/2*WB +0{1'b1}};
117 else if (cnt[30:2]==5) array_lt [ WA -1] <= {1 *WB +0{1'b1}};
119 else if (cnt[30:2]==7) array_lt [ WA -1][WB/2:WB -1] <= {1 *WB/2+0{1'b1}};
121 else if (cnt[30:2]==9) array_lt [ WA -1][ WB -1] <= {1 *1 +0{1'b1}};
136 …if (cnt[30:2]==0) begin if (array_lt !== {WA *WB {1'b0}}) $st…
137 …else if (cnt[30:2]==1) begin if (array_lt !== {WA *WB +0{1'b1}}) $st…
138 …else if (cnt[30:2]==2) begin if (array_lt [0 :WA/2-1] !== {WA/2*WB +0{1'b1}}) $st…
139 …else if (cnt[30:2]==3) begin if (array_lt [WA/2:WA -1] !== {WA/2*WB +0{1'b1}}) $st…
141 …else if (cnt[30:2]==5) begin if (array_lt [ WA -1] !== {1 *WB +0{1'b1}}) $st…
143 …else if (cnt[30:2]==7) begin if (array_lt [ WA -1][WB/2:WB -1] !== {1 *WB/2+0{1'b1}}) $st…
145 …else if (cnt[30:2]==9) begin if (array_lt [ WA -1][ WB -1] !== {1 *1 +0{1'b1}}) $st…