Lines Matching +refs:verilog +refs:batch +refs:execute +refs:func

416 	`:sqli-comint-func' does not have to be a symbol.
618 * lisp/simple.el (execute-extended-command--shorter): Call
1203 cl--assertion-failed because `debug' calls `kill-emacs' in batch mode,
2280 * lisp/emacs-lisp/find-func.el (find-library):
2947 batch mode, see
2971 * lisp/emacs-lisp/find-func.el (find-feature-regexp): Explain that `%s'
3069 is not called when options -q, -Q, or --batch were passed (Bug #19151).
3621 * lisp/emacs-lisp/find-func.el (find-function-library):
3956 * lisp/emacs-lisp/find-func.el (find-feature-regexp)
5033 (eshell-execute-pipeline): Test 'make-process', not
8683 rename, to keep the w32notify backend happy in batch mode.
8797 (vhdl-hooked-abbrev, vhdl-port-copy, vhdl-hs-forward-sexp-func):
8798 * lisp/progmodes/verilog-mode.el (verilog-backward-sexp)
8799 (verilog-forward-sexp, verilog-beg-of-statement)
8800 (verilog-set-auto-endcomments, verilog-backward-token)
8801 (verilog-do-indent):
9992 (xwidget-webkit-execute-script-rv)
11781 Obey coding-system-for-write when writing stdout/stderr in batch
11794 by output functions in batch mode.
12789 Document 'ert-summarize-tests-batch-and-exit'
12792 'ert-summarize-tests-batch-and-exit'.
14214 corresponding ...-group-function is set to a function, and if so execute this
15743 ID independently for each type of session (GUI, TTY, batch).
17293 corresponding ...-group-function is set to a function, and if so execute this
22177 charpos. The loop should always execute at least once anyway.
22346 * lisp/progmodes/verilog-mode.el (verilog-batch-execute-func)
22347 (verilog-signals-combine-bus, verilog-read-defines)
22348 (verilog-getopt-file, verilog-expand-dirnames)
22349 (verilog-modi-lookup, verilog-modi-modport-lookup-one):
22423 * lisp/progmodes/verilog-mode.el (verilog-forward-sexp-function)
22424 (verilog-decls-princ, verilog-modport-princ)
22425 (verilog-modi-modport-lookup-one): Fix checkdoc warnings.
22502 * lisp/progmodes/verilog-mode.el (verilog-error-regexp-emacs-alist)
22503 (verilog-error-font-lock-keywords)
22504 (verilog-assignment-operator-re):
22589 batch-mode. (Bug#21432)
22596 batch-mode. Add test lost last commit.
23485 (batch-byte-compile):
23519 * lisp/emacs-lisp/find-func.el (find-function-search-for-symbol)
23643 * lisp/progmodes/verilog-mode.el (verilog-load-file-at-point):
23650 * lisp/simple.el (execute-extended-command)
24070 (command-execute): Call prefix-command-update if needed.
24400 * lisp/progmodes/verilog-mode.el (verilog-auto-reset-widths):
24453 Fix which-func for curly quotes: look for symbol, not message
24454 * lisp/progmodes/which-func.el (which-func-ff-hook): Look for new
24765 (strokes-execute-stroke):
24968 * lisp/progmodes/verilog-mode.el (verilog-load-file-at-point):
25176 * lisp/emacs-lisp/find-func.el (find-function-library):
25237 * lisp/simple.el (execute-extended-command)
25469 (batch-byte-compile):
25496 * lisp/emacs-lisp/find-func.el (find-function-search-for-symbol)
25575 * lisp/emacs-lisp/package.el (package-menu-execute):
25822 * lisp/progmodes/verilog-mode.el (verilog-regexp-words)
25823 (verilog-auto-lineup, verilog-auto-reset-widths)
25824 (verilog-auto-arg-format, verilog-auto-inst-template-numbers):
26221 Curved quotes in --batch diagnostics in non-UTF-8
26222 When run with --batch, check that curved quotes are compatible with
26317 * lisp/simple.el (execute-extended-command)
26340 Update verilog-mode.el to 2015-05-14-6232468-vpo-GNU.
26341 * lisp/progmodes/verilog-mode.el: Fix some non-automated indent
26343 (verilog-type-font-keywords): Cycle delay operators like ##1 and
26347 (verilog-backward-syntactic-ws-quick)
26348 (verilog-skip-backward-comments): Minor performance improvements
26350 (verilog-calc-1, verilog-in-deferred-immediate-final-p): When the
26354 (verilog-do-indent): Virtual task/function/class definition lines
26356 (verilog-do-indent): Do not falsely indent to '=' of
26359 (verilog-assignment-operator-re): Fix '!==' operator and add
26361 (verilog-calculate-indent, verilog-label-be): Enable
26364 (verilog-calc-1): Detect 'pure virtual method' declarations which
26366 (verilog-backward-ws&directives): When moving back to the start of
26370 (verilog-dpi-import-export-re, verilog-extended-complete-re)
26371 (verilog-calc-1): Teach verilog-mode to properly indent after a
26374 (verilog-extended-complete-re): Update regexp to match both
26422 * lisp/progmodes/verilog-mode.el (verilog-mode-map):
26628 * lisp/emacs-lisp/find-func.el (find-feature-regexp): Move here from
26776 (find-defs-defgeneric-el): Match batch test config.
27081 * lisp/progmodes/verilog-mode.el (verilog-showscopes):
27421 Support long URLs in w32-shell-execute
27649 Avoid crashes when w32 GUI functions are called in -batch
28597 -batch should not affect ‘’ -> `' display
28599 -batch (Bug#20926).
29316 * lisp/emacs-lisp/find-func.el (find-function-on-key-do-it):
29608 (package-menu-execute): Don't call `package-menu--post-refresh'.
30378 * lisp/international/titdic-cnv.el (batch-titdic-convert):
30440 * lisp/emacs-lisp/find-func.el (find-function-advised-original):
30647 MS-Windows followup for batch stdout/stderr output changes
30649 In batch mode, use console codepages for keyboard and terminal
30688 Treat batch stdout/stderr like standard display
30691 locale. Fix this by treating batch stdout and stderr like
31630 (package-menu-execute): Use it before starting the transaction,
31735 * lisp/emacs-lisp/package.el (package-menu-execute):
31762 (package-menu-execute): Mark as selected all non-upgrade packages
31772 (package-menu--prompt-transaction-p, package-menu-execute):
31780 (package-menu-execute): Remove asynchronous functionality.
31914 * lisp/progmodes/verilog-mode.el (verilog-tool)
31915 (verilog-string-replace-matches, verilog-preprocess)
31916 (verilog-auto-insert-lisp, verilog-auto-insert-last):
32072 Allow checkdoc to be called in batch
32075 How it can be used in -batch:
32606 Sync with upstream verilog-mode revision 6232468
32607 * lisp/progmodes/verilog-mode.el
32608 (verilog-font-lock-grouping-keywords-face)
32609 (verilog-highlight-grouping-keywords): Fix use of face when
32610 `verilog-highlight-grouping-keywords' set. Reported by Jeff Pompa.
32611 (verilog-auto-reset): Fix AUTORESET to ignore member resets if
32613 (verilog-auto-inout-module): Add fourth regexp argument to
32616 (verilog-auto-inst-port): Fix AUTOINST interfaces to not show
32619 (verilog-auto-reset, verilog-auto-sense-sigs): Fix AUTORESET with
32621 (verilog-at-constraint-p, verilog-beg-of-statement-1): Fix hanging
32623 (verilog-set-auto-endcomments): Fix end comments for functions of
32625 (verilog-do-indent): Fix electric tab deleting form-feeds. Note
32627 (verilog-nameable-item-re): Fix nameable items that can have an
32630 (verilog-label-be): When auto-commenting a buffer, consider
32633 (verilog-auto-end-comment-lines-re)
32634 (verilog-end-block-ordered-re, verilog-set-auto-endcomments):
32638 (verilog-set-auto-endcomments): Detect the function- or task-name
32641 (verilog-auto, verilog-auto-insert-last): Add AUTOINSERTLAST to
32643 (verilog-no-indent-begin-re): When `verilog-indent-begin-after-if'
32646 (verilog-extended-complete-re): Fix indentation of DPI-C imports
32649 (verilog-read-decls): Fix parsing typed interfaces. Fix
32653 (verilog-auto-output-every): Add regexp to AUTOOUTPUTEVERY,
32655 (verilog-auto-arg-format, verilog-auto-arg-ports):
32656 Add verilog-auto-arg-format to support newlines in AUTOARG.
32658 (verilog-batch-execute-func): Do not batch re-auto files loaded by
32660 verilog-batch. Reported by Dan Dever.
32661 (verilog-auto-inout-module): Fix AUTOINOUTMODULE not inserting
32664 * lisp/progmodes/verilog-mode.el (verilog-beg-of-statement):
32667 (verilog-directive-re, verilog-compiler-directives)
32668 (verilog-keywords): Match full set of IEEE 2012-1800 compiler
32671 (verilog-at-constraint-p): Fix indentation of coverpoint bins if
32673 (verilog-optional-signed-range-re, verilog-optional-signed-re):
32676 (verilog-looking-back, verilog-in-attribute-p): Fix labeling of
32678 (verilog-calc-1): Fix verilog-mode constraint indentation, bug324.
32680 (verilog-beg-of-statement): Fix indenting for some forms of
32686 (verilog-preprocessor-re): Fix fork/end UNMATCHED warning, bug859.
32688 (verilog-set-auto-endcomments): Fix endlabel end comments, bug888.
32689 (verilog-backward-token): Fix indenting sensitivity lists with
32691 (verilog-no-indent-begin-re): Fix `verilog-indent-begin-after-if'
34259 Avoid starting threads by w32-shell-execute
35160 (package-menu-execute): Feedback when operation starts.
35302 (package-menu--perform-transaction, package-menu-execute): Use it.