Home
last modified time | relevance | path

Searched defs:ARCACHE (Results 1 – 3 of 3) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/wishbone/wb_axi4lite_bridge/
H A Daxi4_pkg.vhd59 ARCACHE : std_logic_vector (3 downto 0); record
/dports/misc/tvm/incubator-tvm-0.6.1/vta/hardware/chisel/src/main/scala/interface/axi/
H A DAXI.scala301 val ARCACHE = Output(UInt(params.cacheBits.W)) constant
/dports/misc/py-tvm/incubator-tvm-0.6.1/vta/hardware/chisel/src/main/scala/interface/axi/
H A DAXI.scala301 val ARCACHE = Output(UInt(params.cacheBits.W)) constant