1 /**********
2 Copyright 2005 Regents of the University of California.  All rights reserved.
3 Author: 2000 Weidong Liu.
4 Modified by Xuemei Xi, 11/15/2002.
5 Modified by Xuemei Xi, 05/09/2003.
6 Modified by Xuemei Xi, 03/04/2004.
7 Modified by Xuemei Xi, Mohan Dunga, 09/24/2004.
8 Modified by Xuemei Xi, 07/29/2005.
9 File: bsim4v5def.h
10 **********/
11 
12 #ifndef BSIM4V5
13 #define BSIM4V5
14 
15 #include "ngspice/ifsim.h"
16 #include "ngspice/gendefs.h"
17 #include "ngspice/cktdefs.h"
18 #include "ngspice/complex.h"
19 #include "ngspice/noisedef.h"
20 
21 typedef struct sBSIM4v5instance
22 {
23 
24     struct GENinstance gen;
25 
26 #define BSIM4v5modPtr(inst) ((struct sBSIM4v5model *)((inst)->gen.GENmodPtr))
27 #define BSIM4v5nextInstance(inst) ((struct sBSIM4v5instance *)((inst)->gen.GENnextInstance))
28 #define BSIM4v5name gen.GENname
29 #define BSIM4v5states gen.GENstate
30 
31     const int BSIM4v5dNode;
32     const int BSIM4v5gNodeExt;
33     const int BSIM4v5sNode;
34     const int BSIM4v5bNode;
35     int BSIM4v5dNodePrime;
36     int BSIM4v5gNodePrime;
37     int BSIM4v5gNodeMid;
38     int BSIM4v5sNodePrime;
39     int BSIM4v5bNodePrime;
40     int BSIM4v5dbNode;
41     int BSIM4v5sbNode;
42     int BSIM4v5qNode;
43 
44     double BSIM4v5ueff;
45     double BSIM4v5thetavth;
46     double BSIM4v5von;
47     double BSIM4v5vdsat;
48     double BSIM4v5cgdo;
49     double BSIM4v5qgdo;
50     double BSIM4v5cgso;
51     double BSIM4v5qgso;
52     double BSIM4v5grbsb;
53     double BSIM4v5grbdb;
54     double BSIM4v5grbpb;
55     double BSIM4v5grbps;
56     double BSIM4v5grbpd;
57 
58     double BSIM4v5vjsmFwd;
59     double BSIM4v5vjsmRev;
60     double BSIM4v5vjdmFwd;
61     double BSIM4v5vjdmRev;
62     double BSIM4v5XExpBVS;
63     double BSIM4v5XExpBVD;
64     double BSIM4v5SslpFwd;
65     double BSIM4v5SslpRev;
66     double BSIM4v5DslpFwd;
67     double BSIM4v5DslpRev;
68     double BSIM4v5IVjsmFwd;
69     double BSIM4v5IVjsmRev;
70     double BSIM4v5IVjdmFwd;
71     double BSIM4v5IVjdmRev;
72 
73     double BSIM4v5grgeltd;
74     double BSIM4v5Pseff;
75     double BSIM4v5Pdeff;
76     double BSIM4v5Aseff;
77     double BSIM4v5Adeff;
78 
79     double BSIM4v5l;
80     double BSIM4v5w;
81     double BSIM4v5drainArea;
82     double BSIM4v5sourceArea;
83     double BSIM4v5drainSquares;
84     double BSIM4v5sourceSquares;
85     double BSIM4v5drainPerimeter;
86     double BSIM4v5sourcePerimeter;
87     double BSIM4v5sourceConductance;
88     double BSIM4v5drainConductance;
89      /* stress effect instance param */
90     double BSIM4v5sa;
91     double BSIM4v5sb;
92     double BSIM4v5sd;
93     double BSIM4v5sca;
94     double BSIM4v5scb;
95     double BSIM4v5scc;
96     double BSIM4v5sc;
97 
98     double BSIM4v5rbdb;
99     double BSIM4v5rbsb;
100     double BSIM4v5rbpb;
101     double BSIM4v5rbps;
102     double BSIM4v5rbpd;
103 
104     double BSIM4v5delvto;
105     double BSIM4v5xgw;
106     double BSIM4v5ngcon;
107 
108      /* added here to account stress effect instance dependence */
109     double BSIM4v5u0temp;
110     double BSIM4v5vsattemp;
111     double BSIM4v5vth0;
112     double BSIM4v5vfb;
113     double BSIM4v5vfbzb;
114     double BSIM4v5vtfbphi1;
115     double BSIM4v5vtfbphi2;
116     double BSIM4v5k2;
117     double BSIM4v5vbsc;
118     double BSIM4v5k2ox;
119     double BSIM4v5eta0;
120 
121     double BSIM4v5icVDS;
122     double BSIM4v5icVGS;
123     double BSIM4v5icVBS;
124     double BSIM4v5nf;
125     double BSIM4v5m;
126     int BSIM4v5off;
127     int BSIM4v5mode;
128     int BSIM4v5trnqsMod;
129     int BSIM4v5acnqsMod;
130     int BSIM4v5rbodyMod;
131     int BSIM4v5rgateMod;
132     int BSIM4v5geoMod;
133     int BSIM4v5rgeoMod;
134     int BSIM4v5min;
135 
136 
137     /* OP point */
138     double BSIM4v5Vgsteff;
139     double BSIM4v5vgs_eff;
140     double BSIM4v5vgd_eff;
141     double BSIM4v5dvgs_eff_dvg;
142     double BSIM4v5dvgd_eff_dvg;
143     double BSIM4v5Vdseff;
144     double BSIM4v5nstar;
145     double BSIM4v5Abulk;
146     double BSIM4v5EsatL;
147     double BSIM4v5AbovVgst2Vtm;
148     double BSIM4v5qinv;
149     double BSIM4v5cd;
150     double BSIM4v5cbs;
151     double BSIM4v5cbd;
152     double BSIM4v5csub;
153     double BSIM4v5Igidl;
154     double BSIM4v5Igisl;
155     double BSIM4v5gm;
156     double BSIM4v5gds;
157     double BSIM4v5gmbs;
158     double BSIM4v5gbd;
159     double BSIM4v5gbs;
160 
161     double BSIM4v5gbbs;
162     double BSIM4v5gbgs;
163     double BSIM4v5gbds;
164     double BSIM4v5ggidld;
165     double BSIM4v5ggidlg;
166     double BSIM4v5ggidls;
167     double BSIM4v5ggidlb;
168     double BSIM4v5ggisld;
169     double BSIM4v5ggislg;
170     double BSIM4v5ggisls;
171     double BSIM4v5ggislb;
172 
173     double BSIM4v5Igcs;
174     double BSIM4v5gIgcsg;
175     double BSIM4v5gIgcsd;
176     double BSIM4v5gIgcss;
177     double BSIM4v5gIgcsb;
178     double BSIM4v5Igcd;
179     double BSIM4v5gIgcdg;
180     double BSIM4v5gIgcdd;
181     double BSIM4v5gIgcds;
182     double BSIM4v5gIgcdb;
183 
184     double BSIM4v5Igs;
185     double BSIM4v5gIgsg;
186     double BSIM4v5gIgss;
187     double BSIM4v5Igd;
188     double BSIM4v5gIgdg;
189     double BSIM4v5gIgdd;
190 
191     double BSIM4v5Igb;
192     double BSIM4v5gIgbg;
193     double BSIM4v5gIgbd;
194     double BSIM4v5gIgbs;
195     double BSIM4v5gIgbb;
196 
197     double BSIM4v5grdsw;
198     double BSIM4v5IdovVds;
199     double BSIM4v5gcrg;
200     double BSIM4v5gcrgd;
201     double BSIM4v5gcrgg;
202     double BSIM4v5gcrgs;
203     double BSIM4v5gcrgb;
204 
205     double BSIM4v5gstot;
206     double BSIM4v5gstotd;
207     double BSIM4v5gstotg;
208     double BSIM4v5gstots;
209     double BSIM4v5gstotb;
210 
211     double BSIM4v5gdtot;
212     double BSIM4v5gdtotd;
213     double BSIM4v5gdtotg;
214     double BSIM4v5gdtots;
215     double BSIM4v5gdtotb;
216 
217     double BSIM4v5cggb;
218     double BSIM4v5cgdb;
219     double BSIM4v5cgsb;
220     double BSIM4v5cbgb;
221     double BSIM4v5cbdb;
222     double BSIM4v5cbsb;
223     double BSIM4v5cdgb;
224     double BSIM4v5cddb;
225     double BSIM4v5cdsb;
226     double BSIM4v5csgb;
227     double BSIM4v5csdb;
228     double BSIM4v5cssb;
229     double BSIM4v5cgbb;
230     double BSIM4v5cdbb;
231     double BSIM4v5csbb;
232     double BSIM4v5cbbb;
233     double BSIM4v5capbd;
234     double BSIM4v5capbs;
235 
236     double BSIM4v5cqgb;
237     double BSIM4v5cqdb;
238     double BSIM4v5cqsb;
239     double BSIM4v5cqbb;
240 
241     double BSIM4v5qgate;
242     double BSIM4v5qbulk;
243     double BSIM4v5qdrn;
244     double BSIM4v5qsrc;
245     double BSIM4v5qdef;
246 
247     double BSIM4v5qchqs;
248     double BSIM4v5taunet;
249     double BSIM4v5gtau;
250     double BSIM4v5gtg;
251     double BSIM4v5gtd;
252     double BSIM4v5gts;
253     double BSIM4v5gtb;
254     double BSIM4v5SjctTempRevSatCur;
255     double BSIM4v5DjctTempRevSatCur;
256     double BSIM4v5SswTempRevSatCur;
257     double BSIM4v5DswTempRevSatCur;
258     double BSIM4v5SswgTempRevSatCur;
259     double BSIM4v5DswgTempRevSatCur;
260 
261     struct bsim4v5SizeDependParam  *pParam;
262 
263     unsigned BSIM4v5lGiven :1;
264     unsigned BSIM4v5wGiven :1;
265     unsigned BSIM4v5mGiven :1;
266     unsigned BSIM4v5nfGiven :1;
267     unsigned BSIM4v5minGiven :1;
268     unsigned BSIM4v5drainAreaGiven :1;
269     unsigned BSIM4v5sourceAreaGiven    :1;
270     unsigned BSIM4v5drainSquaresGiven  :1;
271     unsigned BSIM4v5sourceSquaresGiven :1;
272     unsigned BSIM4v5drainPerimeterGiven    :1;
273     unsigned BSIM4v5sourcePerimeterGiven   :1;
274     unsigned BSIM4v5saGiven :1;
275     unsigned BSIM4v5sbGiven :1;
276     unsigned BSIM4v5sdGiven :1;
277     unsigned BSIM4v5scaGiven :1;
278     unsigned BSIM4v5scbGiven :1;
279     unsigned BSIM4v5sccGiven :1;
280     unsigned BSIM4v5scGiven :1;
281     unsigned BSIM4v5rbdbGiven   :1;
282     unsigned BSIM4v5rbsbGiven   :1;
283     unsigned BSIM4v5rbpbGiven   :1;
284     unsigned BSIM4v5rbpdGiven   :1;
285     unsigned BSIM4v5rbpsGiven   :1;
286     unsigned BSIM4v5delvtoGiven   :1;
287     unsigned BSIM4v5xgwGiven   :1;
288     unsigned BSIM4v5ngconGiven   :1;
289     unsigned BSIM4v5icVDSGiven :1;
290     unsigned BSIM4v5icVGSGiven :1;
291     unsigned BSIM4v5icVBSGiven :1;
292     unsigned BSIM4v5trnqsModGiven :1;
293     unsigned BSIM4v5acnqsModGiven :1;
294     unsigned BSIM4v5rbodyModGiven :1;
295     unsigned BSIM4v5rgateModGiven :1;
296     unsigned BSIM4v5geoModGiven :1;
297     unsigned BSIM4v5rgeoModGiven :1;
298 
299 
300     double *BSIM4v5DPdPtr;
301     double *BSIM4v5DPdpPtr;
302     double *BSIM4v5DPgpPtr;
303     double *BSIM4v5DPgmPtr;
304     double *BSIM4v5DPspPtr;
305     double *BSIM4v5DPbpPtr;
306     double *BSIM4v5DPdbPtr;
307 
308     double *BSIM4v5DdPtr;
309     double *BSIM4v5DdpPtr;
310 
311     double *BSIM4v5GPdpPtr;
312     double *BSIM4v5GPgpPtr;
313     double *BSIM4v5GPgmPtr;
314     double *BSIM4v5GPgePtr;
315     double *BSIM4v5GPspPtr;
316     double *BSIM4v5GPbpPtr;
317 
318     double *BSIM4v5GMdpPtr;
319     double *BSIM4v5GMgpPtr;
320     double *BSIM4v5GMgmPtr;
321     double *BSIM4v5GMgePtr;
322     double *BSIM4v5GMspPtr;
323     double *BSIM4v5GMbpPtr;
324 
325     double *BSIM4v5GEdpPtr;
326     double *BSIM4v5GEgpPtr;
327     double *BSIM4v5GEgmPtr;
328     double *BSIM4v5GEgePtr;
329     double *BSIM4v5GEspPtr;
330     double *BSIM4v5GEbpPtr;
331 
332     double *BSIM4v5SPdpPtr;
333     double *BSIM4v5SPgpPtr;
334     double *BSIM4v5SPgmPtr;
335     double *BSIM4v5SPsPtr;
336     double *BSIM4v5SPspPtr;
337     double *BSIM4v5SPbpPtr;
338     double *BSIM4v5SPsbPtr;
339 
340     double *BSIM4v5SspPtr;
341     double *BSIM4v5SsPtr;
342 
343     double *BSIM4v5BPdpPtr;
344     double *BSIM4v5BPgpPtr;
345     double *BSIM4v5BPgmPtr;
346     double *BSIM4v5BPspPtr;
347     double *BSIM4v5BPdbPtr;
348     double *BSIM4v5BPbPtr;
349     double *BSIM4v5BPsbPtr;
350     double *BSIM4v5BPbpPtr;
351 
352     double *BSIM4v5DBdpPtr;
353     double *BSIM4v5DBdbPtr;
354     double *BSIM4v5DBbpPtr;
355     double *BSIM4v5DBbPtr;
356 
357     double *BSIM4v5SBspPtr;
358     double *BSIM4v5SBbpPtr;
359     double *BSIM4v5SBbPtr;
360     double *BSIM4v5SBsbPtr;
361 
362     double *BSIM4v5BdbPtr;
363     double *BSIM4v5BbpPtr;
364     double *BSIM4v5BsbPtr;
365     double *BSIM4v5BbPtr;
366 
367     double *BSIM4v5DgpPtr;
368     double *BSIM4v5DspPtr;
369     double *BSIM4v5DbpPtr;
370     double *BSIM4v5SdpPtr;
371     double *BSIM4v5SgpPtr;
372     double *BSIM4v5SbpPtr;
373 
374     double *BSIM4v5QdpPtr;
375     double *BSIM4v5QgpPtr;
376     double *BSIM4v5QspPtr;
377     double *BSIM4v5QbpPtr;
378     double *BSIM4v5QqPtr;
379     double *BSIM4v5DPqPtr;
380     double *BSIM4v5GPqPtr;
381     double *BSIM4v5SPqPtr;
382 
383 #ifdef USE_OMP
384     /* per instance storage of results, to update matrix at a later stge */
385     double BSIM4v5rhsdPrime;
386     double BSIM4v5rhsgPrime;
387     double BSIM4v5rhsgExt;
388     double BSIM4v5grhsMid;
389     double BSIM4v5rhsbPrime;
390     double BSIM4v5rhssPrime;
391     double BSIM4v5rhsdb;
392     double BSIM4v5rhssb;
393     double BSIM4v5rhsd;
394     double BSIM4v5rhss;
395     double BSIM4v5rhsq;
396 
397     double BSIM4v5_1;
398     double BSIM4v5_2;
399     double BSIM4v5_3;
400     double BSIM4v5_4;
401     double BSIM4v5_5;
402     double BSIM4v5_6;
403     double BSIM4v5_7;
404     double BSIM4v5_8;
405     double BSIM4v5_9;
406     double BSIM4v5_10;
407     double BSIM4v5_11;
408     double BSIM4v5_12;
409     double BSIM4v5_13;
410     double BSIM4v5_14;
411     double BSIM4v5_15;
412     double BSIM4v5_16;
413     double BSIM4v5_17;
414     double BSIM4v5_18;
415     double BSIM4v5_19;
416     double BSIM4v5_20;
417     double BSIM4v5_21;
418     double BSIM4v5_22;
419     double BSIM4v5_23;
420     double BSIM4v5_24;
421     double BSIM4v5_25;
422     double BSIM4v5_26;
423     double BSIM4v5_27;
424     double BSIM4v5_28;
425     double BSIM4v5_29;
426     double BSIM4v5_30;
427     double BSIM4v5_31;
428     double BSIM4v5_32;
429     double BSIM4v5_33;
430     double BSIM4v5_34;
431     double BSIM4v5_35;
432     double BSIM4v5_36;
433     double BSIM4v5_37;
434     double BSIM4v5_38;
435     double BSIM4v5_39;
436     double BSIM4v5_40;
437     double BSIM4v5_41;
438     double BSIM4v5_42;
439     double BSIM4v5_43;
440     double BSIM4v5_44;
441     double BSIM4v5_45;
442     double BSIM4v5_46;
443     double BSIM4v5_47;
444     double BSIM4v5_48;
445     double BSIM4v5_49;
446     double BSIM4v5_50;
447     double BSIM4v5_51;
448     double BSIM4v5_52;
449     double BSIM4v5_53;
450     double BSIM4v5_54;
451     double BSIM4v5_55;
452     double BSIM4v5_56;
453     double BSIM4v5_57;
454     double BSIM4v5_58;
455     double BSIM4v5_59;
456     double BSIM4v5_60;
457     double BSIM4v5_61;
458     double BSIM4v5_62;
459     double BSIM4v5_63;
460     double BSIM4v5_64;
461     double BSIM4v5_65;
462     double BSIM4v5_66;
463     double BSIM4v5_67;
464     double BSIM4v5_68;
465     double BSIM4v5_69;
466     double BSIM4v5_70;
467     double BSIM4v5_71;
468     double BSIM4v5_72;
469     double BSIM4v5_73;
470     double BSIM4v5_74;
471     double BSIM4v5_75;
472     double BSIM4v5_76;
473     double BSIM4v5_77;
474     double BSIM4v5_78;
475     double BSIM4v5_79;
476     double BSIM4v5_80;
477     double BSIM4v5_81;
478     double BSIM4v5_82;
479     double BSIM4v5_83;
480     double BSIM4v5_84;
481     double BSIM4v5_85;
482     double BSIM4v5_86;
483     double BSIM4v5_87;
484     double BSIM4v5_88;
485     double BSIM4v5_89;
486     double BSIM4v5_90;
487     double BSIM4v5_91;
488     double BSIM4v5_92;
489     double BSIM4v5_93;
490     double BSIM4v5_94;
491     double BSIM4v5_95;
492     double BSIM4v5_96;
493     double BSIM4v5_97;
494     double BSIM4v5_98;
495     double BSIM4v5_99;
496     double BSIM4v5_100;
497     double BSIM4v5_101;
498     double BSIM4v5_102;
499     double BSIM4v5_103;
500 
501 #endif
502 
503 #define BSIM4v5vbd BSIM4v5states+ 0
504 #define BSIM4v5vbs BSIM4v5states+ 1
505 #define BSIM4v5vgs BSIM4v5states+ 2
506 #define BSIM4v5vds BSIM4v5states+ 3
507 #define BSIM4v5vdbs BSIM4v5states+ 4
508 #define BSIM4v5vdbd BSIM4v5states+ 5
509 #define BSIM4v5vsbs BSIM4v5states+ 6
510 #define BSIM4v5vges BSIM4v5states+ 7
511 #define BSIM4v5vgms BSIM4v5states+ 8
512 #define BSIM4v5vses BSIM4v5states+ 9
513 #define BSIM4v5vdes BSIM4v5states+ 10
514 
515 #define BSIM4v5qb BSIM4v5states+ 11
516 #define BSIM4v5cqb BSIM4v5states+ 12
517 #define BSIM4v5qg BSIM4v5states+ 13
518 #define BSIM4v5cqg BSIM4v5states+ 14
519 #define BSIM4v5qd BSIM4v5states+ 15
520 #define BSIM4v5cqd BSIM4v5states+ 16
521 #define BSIM4v5qgmid BSIM4v5states+ 17
522 #define BSIM4v5cqgmid BSIM4v5states+ 18
523 
524 #define BSIM4v5qbs  BSIM4v5states+ 19
525 #define BSIM4v5cqbs  BSIM4v5states+ 20
526 #define BSIM4v5qbd  BSIM4v5states+ 21
527 #define BSIM4v5cqbd  BSIM4v5states+ 22
528 
529 #define BSIM4v5qcheq BSIM4v5states+ 23
530 #define BSIM4v5cqcheq BSIM4v5states+ 24
531 #define BSIM4v5qcdump BSIM4v5states+ 25
532 #define BSIM4v5cqcdump BSIM4v5states+ 26
533 #define BSIM4v5qdef BSIM4v5states+ 27
534 #define BSIM4v5qs BSIM4v5states+ 28
535 
536 #define BSIM4v5numStates 29
537 
538 
539 /* indices to the array of BSIM4v5 NOISE SOURCES */
540 
541 #define BSIM4v5RDNOIZ       0
542 #define BSIM4v5RSNOIZ       1
543 #define BSIM4v5RGNOIZ       2
544 #define BSIM4v5RBPSNOIZ     3
545 #define BSIM4v5RBPDNOIZ     4
546 #define BSIM4v5RBPBNOIZ     5
547 #define BSIM4v5RBSBNOIZ     6
548 #define BSIM4v5RBDBNOIZ     7
549 #define BSIM4v5IDNOIZ       8
550 #define BSIM4v5FLNOIZ       9
551 #define BSIM4v5IGSNOIZ      10
552 #define BSIM4v5IGDNOIZ      11
553 #define BSIM4v5IGBNOIZ      12
554 #define BSIM4v5TOTNOIZ      13
555 
556 #define BSIM4v5NSRCS        14  /* Number of BSIM4v5 noise sources */
557 
558 #ifndef NONOISE
559     double BSIM4v5nVar[NSTATVARS][BSIM4v5NSRCS];
560 #else /* NONOISE */
561         double **BSIM4v5nVar;
562 #endif /* NONOISE */
563 
564 } BSIM4v5instance ;
565 
566 struct bsim4v5SizeDependParam
567 {
568     double Width;
569     double Length;
570     double NFinger;
571 
572     double BSIM4v5cdsc;
573     double BSIM4v5cdscb;
574     double BSIM4v5cdscd;
575     double BSIM4v5cit;
576     double BSIM4v5nfactor;
577     double BSIM4v5xj;
578     double BSIM4v5vsat;
579     double BSIM4v5at;
580     double BSIM4v5a0;
581     double BSIM4v5ags;
582     double BSIM4v5a1;
583     double BSIM4v5a2;
584     double BSIM4v5keta;
585     double BSIM4v5nsub;
586     double BSIM4v5ndep;
587     double BSIM4v5nsd;
588     double BSIM4v5phin;
589     double BSIM4v5ngate;
590     double BSIM4v5gamma1;
591     double BSIM4v5gamma2;
592     double BSIM4v5vbx;
593     double BSIM4v5vbi;
594     double BSIM4v5vbm;
595     double BSIM4v5xt;
596     double BSIM4v5phi;
597     double BSIM4v5litl;
598     double BSIM4v5k1;
599     double BSIM4v5kt1;
600     double BSIM4v5kt1l;
601     double BSIM4v5kt2;
602     double BSIM4v5k2;
603     double BSIM4v5k3;
604     double BSIM4v5k3b;
605     double BSIM4v5w0;
606     double BSIM4v5dvtp0;
607     double BSIM4v5dvtp1;
608     double BSIM4v5lpe0;
609     double BSIM4v5lpeb;
610     double BSIM4v5dvt0;
611     double BSIM4v5dvt1;
612     double BSIM4v5dvt2;
613     double BSIM4v5dvt0w;
614     double BSIM4v5dvt1w;
615     double BSIM4v5dvt2w;
616     double BSIM4v5drout;
617     double BSIM4v5dsub;
618     double BSIM4v5vth0;
619     double BSIM4v5ua;
620     double BSIM4v5ua1;
621     double BSIM4v5ub;
622     double BSIM4v5ub1;
623     double BSIM4v5uc;
624     double BSIM4v5uc1;
625     double BSIM4v5ud;
626     double BSIM4v5ud1;
627     double BSIM4v5up;
628     double BSIM4v5lp;
629     double BSIM4v5u0;
630     double BSIM4v5eu;
631     double BSIM4v5ute;
632     double BSIM4v5voff;
633     double BSIM4v5tvoff;
634     double BSIM4v5minv;
635     double BSIM4v5vfb;
636     double BSIM4v5delta;
637     double BSIM4v5rdsw;
638     double BSIM4v5rds0;
639     double BSIM4v5rs0;
640     double BSIM4v5rd0;
641     double BSIM4v5rsw;
642     double BSIM4v5rdw;
643     double BSIM4v5prwg;
644     double BSIM4v5prwb;
645     double BSIM4v5prt;
646     double BSIM4v5eta0;
647     double BSIM4v5etab;
648     double BSIM4v5pclm;
649     double BSIM4v5pdibl1;
650     double BSIM4v5pdibl2;
651     double BSIM4v5pdiblb;
652     double BSIM4v5fprout;
653     double BSIM4v5pdits;
654     double BSIM4v5pditsd;
655     double BSIM4v5pscbe1;
656     double BSIM4v5pscbe2;
657     double BSIM4v5pvag;
658     double BSIM4v5wr;
659     double BSIM4v5dwg;
660     double BSIM4v5dwb;
661     double BSIM4v5b0;
662     double BSIM4v5b1;
663     double BSIM4v5alpha0;
664     double BSIM4v5alpha1;
665     double BSIM4v5beta0;
666     double BSIM4v5agidl;
667     double BSIM4v5bgidl;
668     double BSIM4v5cgidl;
669     double BSIM4v5egidl;
670     double BSIM4v5aigc;
671     double BSIM4v5bigc;
672     double BSIM4v5cigc;
673     double BSIM4v5aigsd;
674     double BSIM4v5bigsd;
675     double BSIM4v5cigsd;
676     double BSIM4v5aigbacc;
677     double BSIM4v5bigbacc;
678     double BSIM4v5cigbacc;
679     double BSIM4v5aigbinv;
680     double BSIM4v5bigbinv;
681     double BSIM4v5cigbinv;
682     double BSIM4v5nigc;
683     double BSIM4v5nigbacc;
684     double BSIM4v5nigbinv;
685     double BSIM4v5ntox;
686     double BSIM4v5eigbinv;
687     double BSIM4v5pigcd;
688     double BSIM4v5poxedge;
689     double BSIM4v5xrcrg1;
690     double BSIM4v5xrcrg2;
691     double BSIM4v5lambda; /* overshoot */
692     double BSIM4v5vtl; /* thermal velocity limit */
693     double BSIM4v5xn; /* back scattering parameter */
694     double BSIM4v5lc; /* back scattering parameter */
695     double BSIM4v5tfactor;  /* ballistic transportation factor  */
696     double BSIM4v5vfbsdoff;  /* S/D flatband offset voltage  */
697     double BSIM4v5tvfbsdoff;
698 
699 /* added for stress effect */
700     double BSIM4v5ku0;
701     double BSIM4v5kvth0;
702     double BSIM4v5ku0temp;
703     double BSIM4v5rho_ref;
704     double BSIM4v5inv_od_ref;
705 /* added for well proximity effect */
706     double BSIM4v5kvth0we;
707     double BSIM4v5k2we;
708     double BSIM4v5ku0we;
709 
710     /* CV model */
711     double BSIM4v5cgsl;
712     double BSIM4v5cgdl;
713     double BSIM4v5ckappas;
714     double BSIM4v5ckappad;
715     double BSIM4v5cf;
716     double BSIM4v5clc;
717     double BSIM4v5cle;
718     double BSIM4v5vfbcv;
719     double BSIM4v5noff;
720     double BSIM4v5voffcv;
721     double BSIM4v5acde;
722     double BSIM4v5moin;
723 
724 /* Pre-calculated constants */
725 
726     double BSIM4v5dw;
727     double BSIM4v5dl;
728     double BSIM4v5leff;
729     double BSIM4v5weff;
730 
731     double BSIM4v5dwc;
732     double BSIM4v5dlc;
733     double BSIM4v5dlcig;
734     double BSIM4v5dwj;
735     double BSIM4v5leffCV;
736     double BSIM4v5weffCV;
737     double BSIM4v5weffCJ;
738     double BSIM4v5abulkCVfactor;
739     double BSIM4v5cgso;
740     double BSIM4v5cgdo;
741     double BSIM4v5cgbo;
742 
743     double BSIM4v5u0temp;
744     double BSIM4v5vsattemp;
745     double BSIM4v5sqrtPhi;
746     double BSIM4v5phis3;
747     double BSIM4v5Xdep0;
748     double BSIM4v5sqrtXdep0;
749     double BSIM4v5theta0vb0;
750     double BSIM4v5thetaRout;
751     double BSIM4v5mstar;
752     double BSIM4v5voffcbn;
753     double BSIM4v5rdswmin;
754     double BSIM4v5rdwmin;
755     double BSIM4v5rswmin;
756     double BSIM4v5vfbsd;
757 
758     double BSIM4v5cof1;
759     double BSIM4v5cof2;
760     double BSIM4v5cof3;
761     double BSIM4v5cof4;
762     double BSIM4v5cdep0;
763     double BSIM4v5ToxRatio;
764     double BSIM4v5Aechvb;
765     double BSIM4v5Bechvb;
766     double BSIM4v5ToxRatioEdge;
767     double BSIM4v5AechvbEdge;
768     double BSIM4v5BechvbEdge;
769     double BSIM4v5ldeb;
770     double BSIM4v5k1ox;
771     double BSIM4v5k2ox;
772     double BSIM4v5vfbzbfactor;
773 
774 
775     struct bsim4v5SizeDependParam  *pNext;
776 };
777 
778 
779 typedef struct sBSIM4v5model
780 {
781 
782     struct GENmodel gen;
783 
784 #define BSIM4v5modType gen.GENmodType
785 #define BSIM4v5nextModel(inst) ((struct sBSIM4v5model *)((inst)->gen.GENnextModel))
786 #define BSIM4v5instances(inst) ((BSIM4v5instance *)((inst)->gen.GENinstances))
787 #define BSIM4v5modName gen.GENmodName
788 
789     int BSIM4v5type;
790 
791     int    BSIM4v5mobMod;
792     int    BSIM4v5capMod;
793     int    BSIM4v5dioMod;
794     int    BSIM4v5trnqsMod;
795     int    BSIM4v5acnqsMod;
796     int    BSIM4v5fnoiMod;
797     int    BSIM4v5tnoiMod;
798     int    BSIM4v5rdsMod;
799     int    BSIM4v5rbodyMod;
800     int    BSIM4v5rgateMod;
801     int    BSIM4v5perMod;
802     int    BSIM4v5geoMod;
803     int    BSIM4v5rgeoMod;
804     int    BSIM4v5igcMod;
805     int    BSIM4v5igbMod;
806     int    BSIM4v5tempMod;
807     int    BSIM4v5binUnit;
808     int    BSIM4v5paramChk;
809     char   *BSIM4v5version;
810     double BSIM4v5toxe;
811     double BSIM4v5toxp;
812     double BSIM4v5toxm;
813     double BSIM4v5dtox;
814     double BSIM4v5epsrox;
815     double BSIM4v5cdsc;
816     double BSIM4v5cdscb;
817     double BSIM4v5cdscd;
818     double BSIM4v5cit;
819     double BSIM4v5nfactor;
820     double BSIM4v5xj;
821     double BSIM4v5vsat;
822     double BSIM4v5at;
823     double BSIM4v5a0;
824     double BSIM4v5ags;
825     double BSIM4v5a1;
826     double BSIM4v5a2;
827     double BSIM4v5keta;
828     double BSIM4v5nsub;
829     double BSIM4v5ndep;
830     double BSIM4v5nsd;
831     double BSIM4v5phin;
832     double BSIM4v5ngate;
833     double BSIM4v5gamma1;
834     double BSIM4v5gamma2;
835     double BSIM4v5vbx;
836     double BSIM4v5vbm;
837     double BSIM4v5xt;
838     double BSIM4v5k1;
839     double BSIM4v5kt1;
840     double BSIM4v5kt1l;
841     double BSIM4v5kt2;
842     double BSIM4v5k2;
843     double BSIM4v5k3;
844     double BSIM4v5k3b;
845     double BSIM4v5w0;
846     double BSIM4v5dvtp0;
847     double BSIM4v5dvtp1;
848     double BSIM4v5lpe0;
849     double BSIM4v5lpeb;
850     double BSIM4v5dvt0;
851     double BSIM4v5dvt1;
852     double BSIM4v5dvt2;
853     double BSIM4v5dvt0w;
854     double BSIM4v5dvt1w;
855     double BSIM4v5dvt2w;
856     double BSIM4v5drout;
857     double BSIM4v5dsub;
858     double BSIM4v5vth0;
859     double BSIM4v5eu;
860     double BSIM4v5ua;
861     double BSIM4v5ua1;
862     double BSIM4v5ub;
863     double BSIM4v5ub1;
864     double BSIM4v5uc;
865     double BSIM4v5uc1;
866     double BSIM4v5ud;
867     double BSIM4v5ud1;
868     double BSIM4v5up;
869     double BSIM4v5lp;
870     double BSIM4v5u0;
871     double BSIM4v5ute;
872     double BSIM4v5voff;
873     double BSIM4v5tvoff;
874     double BSIM4v5minv;
875     double BSIM4v5voffl;
876     double BSIM4v5delta;
877     double BSIM4v5rdsw;
878     double BSIM4v5rdswmin;
879     double BSIM4v5rdwmin;
880     double BSIM4v5rswmin;
881     double BSIM4v5rsw;
882     double BSIM4v5rdw;
883     double BSIM4v5prwg;
884     double BSIM4v5prwb;
885     double BSIM4v5prt;
886     double BSIM4v5eta0;
887     double BSIM4v5etab;
888     double BSIM4v5pclm;
889     double BSIM4v5pdibl1;
890     double BSIM4v5pdibl2;
891     double BSIM4v5pdiblb;
892     double BSIM4v5fprout;
893     double BSIM4v5pdits;
894     double BSIM4v5pditsd;
895     double BSIM4v5pditsl;
896     double BSIM4v5pscbe1;
897     double BSIM4v5pscbe2;
898     double BSIM4v5pvag;
899     double BSIM4v5wr;
900     double BSIM4v5dwg;
901     double BSIM4v5dwb;
902     double BSIM4v5b0;
903     double BSIM4v5b1;
904     double BSIM4v5alpha0;
905     double BSIM4v5alpha1;
906     double BSIM4v5beta0;
907     double BSIM4v5agidl;
908     double BSIM4v5bgidl;
909     double BSIM4v5cgidl;
910     double BSIM4v5egidl;
911     double BSIM4v5aigc;
912     double BSIM4v5bigc;
913     double BSIM4v5cigc;
914     double BSIM4v5aigsd;
915     double BSIM4v5bigsd;
916     double BSIM4v5cigsd;
917     double BSIM4v5aigbacc;
918     double BSIM4v5bigbacc;
919     double BSIM4v5cigbacc;
920     double BSIM4v5aigbinv;
921     double BSIM4v5bigbinv;
922     double BSIM4v5cigbinv;
923     double BSIM4v5nigc;
924     double BSIM4v5nigbacc;
925     double BSIM4v5nigbinv;
926     double BSIM4v5ntox;
927     double BSIM4v5eigbinv;
928     double BSIM4v5pigcd;
929     double BSIM4v5poxedge;
930     double BSIM4v5toxref;
931     double BSIM4v5ijthdfwd;
932     double BSIM4v5ijthsfwd;
933     double BSIM4v5ijthdrev;
934     double BSIM4v5ijthsrev;
935     double BSIM4v5xjbvd;
936     double BSIM4v5xjbvs;
937     double BSIM4v5bvd;
938     double BSIM4v5bvs;
939 
940     double BSIM4v5jtss;
941     double BSIM4v5jtsd;
942     double BSIM4v5jtssws;
943     double BSIM4v5jtsswd;
944     double BSIM4v5jtsswgs;
945     double BSIM4v5jtsswgd;
946     double BSIM4v5njts;
947     double BSIM4v5njtssw;
948     double BSIM4v5njtsswg;
949     double BSIM4v5xtss;
950     double BSIM4v5xtsd;
951     double BSIM4v5xtssws;
952     double BSIM4v5xtsswd;
953     double BSIM4v5xtsswgs;
954     double BSIM4v5xtsswgd;
955     double BSIM4v5tnjts;
956     double BSIM4v5tnjtssw;
957     double BSIM4v5tnjtsswg;
958     double BSIM4v5vtss;
959     double BSIM4v5vtsd;
960     double BSIM4v5vtssws;
961     double BSIM4v5vtsswd;
962     double BSIM4v5vtsswgs;
963     double BSIM4v5vtsswgd;
964 
965     double BSIM4v5xrcrg1;
966     double BSIM4v5xrcrg2;
967     double BSIM4v5lambda;
968     double BSIM4v5vtl;
969     double BSIM4v5lc;
970     double BSIM4v5xn;
971     double BSIM4v5vfbsdoff;  /* S/D flatband offset voltage  */
972     double BSIM4v5lintnoi;  /* lint offset for noise calculation  */
973     double BSIM4v5tvfbsdoff;
974 
975     double BSIM4v5vfb;
976     double BSIM4v5gbmin;
977     double BSIM4v5rbdb;
978     double BSIM4v5rbsb;
979     double BSIM4v5rbpb;
980     double BSIM4v5rbps;
981     double BSIM4v5rbpd;
982 
983     double BSIM4v5rbps0;
984     double BSIM4v5rbpsl;
985     double BSIM4v5rbpsw;
986     double BSIM4v5rbpsnf;
987 
988     double BSIM4v5rbpd0;
989     double BSIM4v5rbpdl;
990     double BSIM4v5rbpdw;
991     double BSIM4v5rbpdnf;
992 
993     double BSIM4v5rbpbx0;
994     double BSIM4v5rbpbxl;
995     double BSIM4v5rbpbxw;
996     double BSIM4v5rbpbxnf;
997     double BSIM4v5rbpby0;
998     double BSIM4v5rbpbyl;
999     double BSIM4v5rbpbyw;
1000     double BSIM4v5rbpbynf;
1001 
1002     double BSIM4v5rbsbx0;
1003     double BSIM4v5rbsby0;
1004     double BSIM4v5rbdbx0;
1005     double BSIM4v5rbdby0;
1006 
1007     double BSIM4v5rbsdbxl;
1008     double BSIM4v5rbsdbxw;
1009     double BSIM4v5rbsdbxnf;
1010     double BSIM4v5rbsdbyl;
1011     double BSIM4v5rbsdbyw;
1012     double BSIM4v5rbsdbynf;
1013 
1014     double BSIM4v5tnoia;
1015     double BSIM4v5tnoib;
1016     double BSIM4v5rnoia;
1017     double BSIM4v5rnoib;
1018     double BSIM4v5ntnoi;
1019 
1020     /* CV model and Parasitics */
1021     double BSIM4v5cgsl;
1022     double BSIM4v5cgdl;
1023     double BSIM4v5ckappas;
1024     double BSIM4v5ckappad;
1025     double BSIM4v5cf;
1026     double BSIM4v5vfbcv;
1027     double BSIM4v5clc;
1028     double BSIM4v5cle;
1029     double BSIM4v5dwc;
1030     double BSIM4v5dlc;
1031     double BSIM4v5xw;
1032     double BSIM4v5xl;
1033     double BSIM4v5dlcig;
1034     double BSIM4v5dwj;
1035     double BSIM4v5noff;
1036     double BSIM4v5voffcv;
1037     double BSIM4v5acde;
1038     double BSIM4v5moin;
1039     double BSIM4v5tcj;
1040     double BSIM4v5tcjsw;
1041     double BSIM4v5tcjswg;
1042     double BSIM4v5tpb;
1043     double BSIM4v5tpbsw;
1044     double BSIM4v5tpbswg;
1045     double BSIM4v5dmcg;
1046     double BSIM4v5dmci;
1047     double BSIM4v5dmdg;
1048     double BSIM4v5dmcgt;
1049     double BSIM4v5xgw;
1050     double BSIM4v5xgl;
1051     double BSIM4v5rshg;
1052     double BSIM4v5ngcon;
1053 
1054     /* Length Dependence */
1055     double BSIM4v5lcdsc;
1056     double BSIM4v5lcdscb;
1057     double BSIM4v5lcdscd;
1058     double BSIM4v5lcit;
1059     double BSIM4v5lnfactor;
1060     double BSIM4v5lxj;
1061     double BSIM4v5lvsat;
1062     double BSIM4v5lat;
1063     double BSIM4v5la0;
1064     double BSIM4v5lags;
1065     double BSIM4v5la1;
1066     double BSIM4v5la2;
1067     double BSIM4v5lketa;
1068     double BSIM4v5lnsub;
1069     double BSIM4v5lndep;
1070     double BSIM4v5lnsd;
1071     double BSIM4v5lphin;
1072     double BSIM4v5lngate;
1073     double BSIM4v5lgamma1;
1074     double BSIM4v5lgamma2;
1075     double BSIM4v5lvbx;
1076     double BSIM4v5lvbm;
1077     double BSIM4v5lxt;
1078     double BSIM4v5lk1;
1079     double BSIM4v5lkt1;
1080     double BSIM4v5lkt1l;
1081     double BSIM4v5lkt2;
1082     double BSIM4v5lk2;
1083     double BSIM4v5lk3;
1084     double BSIM4v5lk3b;
1085     double BSIM4v5lw0;
1086     double BSIM4v5ldvtp0;
1087     double BSIM4v5ldvtp1;
1088     double BSIM4v5llpe0;
1089     double BSIM4v5llpeb;
1090     double BSIM4v5ldvt0;
1091     double BSIM4v5ldvt1;
1092     double BSIM4v5ldvt2;
1093     double BSIM4v5ldvt0w;
1094     double BSIM4v5ldvt1w;
1095     double BSIM4v5ldvt2w;
1096     double BSIM4v5ldrout;
1097     double BSIM4v5ldsub;
1098     double BSIM4v5lvth0;
1099     double BSIM4v5lua;
1100     double BSIM4v5lua1;
1101     double BSIM4v5lub;
1102     double BSIM4v5lub1;
1103     double BSIM4v5luc;
1104     double BSIM4v5luc1;
1105     double BSIM4v5lud;
1106     double BSIM4v5lud1;
1107     double BSIM4v5lup;
1108     double BSIM4v5llp;
1109     double BSIM4v5lu0;
1110     double BSIM4v5leu;
1111     double BSIM4v5lute;
1112     double BSIM4v5lvoff;
1113     double BSIM4v5ltvoff;
1114     double BSIM4v5lminv;
1115     double BSIM4v5ldelta;
1116     double BSIM4v5lrdsw;
1117     double BSIM4v5lrsw;
1118     double BSIM4v5lrdw;
1119     double BSIM4v5lprwg;
1120     double BSIM4v5lprwb;
1121     double BSIM4v5lprt;
1122     double BSIM4v5leta0;
1123     double BSIM4v5letab;
1124     double BSIM4v5lpclm;
1125     double BSIM4v5lpdibl1;
1126     double BSIM4v5lpdibl2;
1127     double BSIM4v5lpdiblb;
1128     double BSIM4v5lfprout;
1129     double BSIM4v5lpdits;
1130     double BSIM4v5lpditsd;
1131     double BSIM4v5lpscbe1;
1132     double BSIM4v5lpscbe2;
1133     double BSIM4v5lpvag;
1134     double BSIM4v5lwr;
1135     double BSIM4v5ldwg;
1136     double BSIM4v5ldwb;
1137     double BSIM4v5lb0;
1138     double BSIM4v5lb1;
1139     double BSIM4v5lalpha0;
1140     double BSIM4v5lalpha1;
1141     double BSIM4v5lbeta0;
1142     double BSIM4v5lvfb;
1143     double BSIM4v5lagidl;
1144     double BSIM4v5lbgidl;
1145     double BSIM4v5lcgidl;
1146     double BSIM4v5legidl;
1147     double BSIM4v5laigc;
1148     double BSIM4v5lbigc;
1149     double BSIM4v5lcigc;
1150     double BSIM4v5laigsd;
1151     double BSIM4v5lbigsd;
1152     double BSIM4v5lcigsd;
1153     double BSIM4v5laigbacc;
1154     double BSIM4v5lbigbacc;
1155     double BSIM4v5lcigbacc;
1156     double BSIM4v5laigbinv;
1157     double BSIM4v5lbigbinv;
1158     double BSIM4v5lcigbinv;
1159     double BSIM4v5lnigc;
1160     double BSIM4v5lnigbacc;
1161     double BSIM4v5lnigbinv;
1162     double BSIM4v5lntox;
1163     double BSIM4v5leigbinv;
1164     double BSIM4v5lpigcd;
1165     double BSIM4v5lpoxedge;
1166     double BSIM4v5lxrcrg1;
1167     double BSIM4v5lxrcrg2;
1168     double BSIM4v5llambda;
1169     double BSIM4v5lvtl;
1170     double BSIM4v5lxn;
1171     double BSIM4v5lvfbsdoff;
1172     double BSIM4v5ltvfbsdoff;
1173 
1174     /* CV model */
1175     double BSIM4v5lcgsl;
1176     double BSIM4v5lcgdl;
1177     double BSIM4v5lckappas;
1178     double BSIM4v5lckappad;
1179     double BSIM4v5lcf;
1180     double BSIM4v5lclc;
1181     double BSIM4v5lcle;
1182     double BSIM4v5lvfbcv;
1183     double BSIM4v5lnoff;
1184     double BSIM4v5lvoffcv;
1185     double BSIM4v5lacde;
1186     double BSIM4v5lmoin;
1187 
1188     /* Width Dependence */
1189     double BSIM4v5wcdsc;
1190     double BSIM4v5wcdscb;
1191     double BSIM4v5wcdscd;
1192     double BSIM4v5wcit;
1193     double BSIM4v5wnfactor;
1194     double BSIM4v5wxj;
1195     double BSIM4v5wvsat;
1196     double BSIM4v5wat;
1197     double BSIM4v5wa0;
1198     double BSIM4v5wags;
1199     double BSIM4v5wa1;
1200     double BSIM4v5wa2;
1201     double BSIM4v5wketa;
1202     double BSIM4v5wnsub;
1203     double BSIM4v5wndep;
1204     double BSIM4v5wnsd;
1205     double BSIM4v5wphin;
1206     double BSIM4v5wngate;
1207     double BSIM4v5wgamma1;
1208     double BSIM4v5wgamma2;
1209     double BSIM4v5wvbx;
1210     double BSIM4v5wvbm;
1211     double BSIM4v5wxt;
1212     double BSIM4v5wk1;
1213     double BSIM4v5wkt1;
1214     double BSIM4v5wkt1l;
1215     double BSIM4v5wkt2;
1216     double BSIM4v5wk2;
1217     double BSIM4v5wk3;
1218     double BSIM4v5wk3b;
1219     double BSIM4v5ww0;
1220     double BSIM4v5wdvtp0;
1221     double BSIM4v5wdvtp1;
1222     double BSIM4v5wlpe0;
1223     double BSIM4v5wlpeb;
1224     double BSIM4v5wdvt0;
1225     double BSIM4v5wdvt1;
1226     double BSIM4v5wdvt2;
1227     double BSIM4v5wdvt0w;
1228     double BSIM4v5wdvt1w;
1229     double BSIM4v5wdvt2w;
1230     double BSIM4v5wdrout;
1231     double BSIM4v5wdsub;
1232     double BSIM4v5wvth0;
1233     double BSIM4v5wua;
1234     double BSIM4v5wua1;
1235     double BSIM4v5wub;
1236     double BSIM4v5wub1;
1237     double BSIM4v5wuc;
1238     double BSIM4v5wuc1;
1239     double BSIM4v5wud;
1240     double BSIM4v5wud1;
1241     double BSIM4v5wup;
1242     double BSIM4v5wlp;
1243     double BSIM4v5wu0;
1244     double BSIM4v5weu;
1245     double BSIM4v5wute;
1246     double BSIM4v5wvoff;
1247     double BSIM4v5wtvoff;
1248     double BSIM4v5wminv;
1249     double BSIM4v5wdelta;
1250     double BSIM4v5wrdsw;
1251     double BSIM4v5wrsw;
1252     double BSIM4v5wrdw;
1253     double BSIM4v5wprwg;
1254     double BSIM4v5wprwb;
1255     double BSIM4v5wprt;
1256     double BSIM4v5weta0;
1257     double BSIM4v5wetab;
1258     double BSIM4v5wpclm;
1259     double BSIM4v5wpdibl1;
1260     double BSIM4v5wpdibl2;
1261     double BSIM4v5wpdiblb;
1262     double BSIM4v5wfprout;
1263     double BSIM4v5wpdits;
1264     double BSIM4v5wpditsd;
1265     double BSIM4v5wpscbe1;
1266     double BSIM4v5wpscbe2;
1267     double BSIM4v5wpvag;
1268     double BSIM4v5wwr;
1269     double BSIM4v5wdwg;
1270     double BSIM4v5wdwb;
1271     double BSIM4v5wb0;
1272     double BSIM4v5wb1;
1273     double BSIM4v5walpha0;
1274     double BSIM4v5walpha1;
1275     double BSIM4v5wbeta0;
1276     double BSIM4v5wvfb;
1277     double BSIM4v5wagidl;
1278     double BSIM4v5wbgidl;
1279     double BSIM4v5wcgidl;
1280     double BSIM4v5wegidl;
1281     double BSIM4v5waigc;
1282     double BSIM4v5wbigc;
1283     double BSIM4v5wcigc;
1284     double BSIM4v5waigsd;
1285     double BSIM4v5wbigsd;
1286     double BSIM4v5wcigsd;
1287     double BSIM4v5waigbacc;
1288     double BSIM4v5wbigbacc;
1289     double BSIM4v5wcigbacc;
1290     double BSIM4v5waigbinv;
1291     double BSIM4v5wbigbinv;
1292     double BSIM4v5wcigbinv;
1293     double BSIM4v5wnigc;
1294     double BSIM4v5wnigbacc;
1295     double BSIM4v5wnigbinv;
1296     double BSIM4v5wntox;
1297     double BSIM4v5weigbinv;
1298     double BSIM4v5wpigcd;
1299     double BSIM4v5wpoxedge;
1300     double BSIM4v5wxrcrg1;
1301     double BSIM4v5wxrcrg2;
1302     double BSIM4v5wlambda;
1303     double BSIM4v5wvtl;
1304     double BSIM4v5wxn;
1305     double BSIM4v5wvfbsdoff;
1306     double BSIM4v5wtvfbsdoff;
1307 
1308     /* CV model */
1309     double BSIM4v5wcgsl;
1310     double BSIM4v5wcgdl;
1311     double BSIM4v5wckappas;
1312     double BSIM4v5wckappad;
1313     double BSIM4v5wcf;
1314     double BSIM4v5wclc;
1315     double BSIM4v5wcle;
1316     double BSIM4v5wvfbcv;
1317     double BSIM4v5wnoff;
1318     double BSIM4v5wvoffcv;
1319     double BSIM4v5wacde;
1320     double BSIM4v5wmoin;
1321 
1322     /* Cross-term Dependence */
1323     double BSIM4v5pcdsc;
1324     double BSIM4v5pcdscb;
1325     double BSIM4v5pcdscd;
1326     double BSIM4v5pcit;
1327     double BSIM4v5pnfactor;
1328     double BSIM4v5pxj;
1329     double BSIM4v5pvsat;
1330     double BSIM4v5pat;
1331     double BSIM4v5pa0;
1332     double BSIM4v5pags;
1333     double BSIM4v5pa1;
1334     double BSIM4v5pa2;
1335     double BSIM4v5pketa;
1336     double BSIM4v5pnsub;
1337     double BSIM4v5pndep;
1338     double BSIM4v5pnsd;
1339     double BSIM4v5pphin;
1340     double BSIM4v5pngate;
1341     double BSIM4v5pgamma1;
1342     double BSIM4v5pgamma2;
1343     double BSIM4v5pvbx;
1344     double BSIM4v5pvbm;
1345     double BSIM4v5pxt;
1346     double BSIM4v5pk1;
1347     double BSIM4v5pkt1;
1348     double BSIM4v5pkt1l;
1349     double BSIM4v5pkt2;
1350     double BSIM4v5pk2;
1351     double BSIM4v5pk3;
1352     double BSIM4v5pk3b;
1353     double BSIM4v5pw0;
1354     double BSIM4v5pdvtp0;
1355     double BSIM4v5pdvtp1;
1356     double BSIM4v5plpe0;
1357     double BSIM4v5plpeb;
1358     double BSIM4v5pdvt0;
1359     double BSIM4v5pdvt1;
1360     double BSIM4v5pdvt2;
1361     double BSIM4v5pdvt0w;
1362     double BSIM4v5pdvt1w;
1363     double BSIM4v5pdvt2w;
1364     double BSIM4v5pdrout;
1365     double BSIM4v5pdsub;
1366     double BSIM4v5pvth0;
1367     double BSIM4v5pua;
1368     double BSIM4v5pua1;
1369     double BSIM4v5pub;
1370     double BSIM4v5pub1;
1371     double BSIM4v5puc;
1372     double BSIM4v5puc1;
1373     double BSIM4v5pud;
1374     double BSIM4v5pud1;
1375     double BSIM4v5pup;
1376     double BSIM4v5plp;
1377     double BSIM4v5pu0;
1378     double BSIM4v5peu;
1379     double BSIM4v5pute;
1380     double BSIM4v5pvoff;
1381     double BSIM4v5ptvoff;
1382     double BSIM4v5pminv;
1383     double BSIM4v5pdelta;
1384     double BSIM4v5prdsw;
1385     double BSIM4v5prsw;
1386     double BSIM4v5prdw;
1387     double BSIM4v5pprwg;
1388     double BSIM4v5pprwb;
1389     double BSIM4v5pprt;
1390     double BSIM4v5peta0;
1391     double BSIM4v5petab;
1392     double BSIM4v5ppclm;
1393     double BSIM4v5ppdibl1;
1394     double BSIM4v5ppdibl2;
1395     double BSIM4v5ppdiblb;
1396     double BSIM4v5pfprout;
1397     double BSIM4v5ppdits;
1398     double BSIM4v5ppditsd;
1399     double BSIM4v5ppscbe1;
1400     double BSIM4v5ppscbe2;
1401     double BSIM4v5ppvag;
1402     double BSIM4v5pwr;
1403     double BSIM4v5pdwg;
1404     double BSIM4v5pdwb;
1405     double BSIM4v5pb0;
1406     double BSIM4v5pb1;
1407     double BSIM4v5palpha0;
1408     double BSIM4v5palpha1;
1409     double BSIM4v5pbeta0;
1410     double BSIM4v5pvfb;
1411     double BSIM4v5pagidl;
1412     double BSIM4v5pbgidl;
1413     double BSIM4v5pcgidl;
1414     double BSIM4v5pegidl;
1415     double BSIM4v5paigc;
1416     double BSIM4v5pbigc;
1417     double BSIM4v5pcigc;
1418     double BSIM4v5paigsd;
1419     double BSIM4v5pbigsd;
1420     double BSIM4v5pcigsd;
1421     double BSIM4v5paigbacc;
1422     double BSIM4v5pbigbacc;
1423     double BSIM4v5pcigbacc;
1424     double BSIM4v5paigbinv;
1425     double BSIM4v5pbigbinv;
1426     double BSIM4v5pcigbinv;
1427     double BSIM4v5pnigc;
1428     double BSIM4v5pnigbacc;
1429     double BSIM4v5pnigbinv;
1430     double BSIM4v5pntox;
1431     double BSIM4v5peigbinv;
1432     double BSIM4v5ppigcd;
1433     double BSIM4v5ppoxedge;
1434     double BSIM4v5pxrcrg1;
1435     double BSIM4v5pxrcrg2;
1436     double BSIM4v5plambda;
1437     double BSIM4v5pvtl;
1438     double BSIM4v5pxn;
1439     double BSIM4v5pvfbsdoff;
1440     double BSIM4v5ptvfbsdoff;
1441 
1442     /* CV model */
1443     double BSIM4v5pcgsl;
1444     double BSIM4v5pcgdl;
1445     double BSIM4v5pckappas;
1446     double BSIM4v5pckappad;
1447     double BSIM4v5pcf;
1448     double BSIM4v5pclc;
1449     double BSIM4v5pcle;
1450     double BSIM4v5pvfbcv;
1451     double BSIM4v5pnoff;
1452     double BSIM4v5pvoffcv;
1453     double BSIM4v5pacde;
1454     double BSIM4v5pmoin;
1455 
1456     double BSIM4v5tnom;
1457     double BSIM4v5cgso;
1458     double BSIM4v5cgdo;
1459     double BSIM4v5cgbo;
1460     double BSIM4v5xpart;
1461     double BSIM4v5cFringOut;
1462     double BSIM4v5cFringMax;
1463 
1464     double BSIM4v5sheetResistance;
1465     double BSIM4v5SjctSatCurDensity;
1466     double BSIM4v5DjctSatCurDensity;
1467     double BSIM4v5SjctSidewallSatCurDensity;
1468     double BSIM4v5DjctSidewallSatCurDensity;
1469     double BSIM4v5SjctGateSidewallSatCurDensity;
1470     double BSIM4v5DjctGateSidewallSatCurDensity;
1471     double BSIM4v5SbulkJctPotential;
1472     double BSIM4v5DbulkJctPotential;
1473     double BSIM4v5SbulkJctBotGradingCoeff;
1474     double BSIM4v5DbulkJctBotGradingCoeff;
1475     double BSIM4v5SbulkJctSideGradingCoeff;
1476     double BSIM4v5DbulkJctSideGradingCoeff;
1477     double BSIM4v5SbulkJctGateSideGradingCoeff;
1478     double BSIM4v5DbulkJctGateSideGradingCoeff;
1479     double BSIM4v5SsidewallJctPotential;
1480     double BSIM4v5DsidewallJctPotential;
1481     double BSIM4v5SGatesidewallJctPotential;
1482     double BSIM4v5DGatesidewallJctPotential;
1483     double BSIM4v5SunitAreaJctCap;
1484     double BSIM4v5DunitAreaJctCap;
1485     double BSIM4v5SunitLengthSidewallJctCap;
1486     double BSIM4v5DunitLengthSidewallJctCap;
1487     double BSIM4v5SunitLengthGateSidewallJctCap;
1488     double BSIM4v5DunitLengthGateSidewallJctCap;
1489     double BSIM4v5SjctEmissionCoeff;
1490     double BSIM4v5DjctEmissionCoeff;
1491     double BSIM4v5SjctTempExponent;
1492     double BSIM4v5DjctTempExponent;
1493     double BSIM4v5njtstemp;
1494     double BSIM4v5njtsswtemp;
1495     double BSIM4v5njtsswgtemp;
1496 
1497     double BSIM4v5Lint;
1498     double BSIM4v5Ll;
1499     double BSIM4v5Llc;
1500     double BSIM4v5Lln;
1501     double BSIM4v5Lw;
1502     double BSIM4v5Lwc;
1503     double BSIM4v5Lwn;
1504     double BSIM4v5Lwl;
1505     double BSIM4v5Lwlc;
1506     double BSIM4v5Lmin;
1507     double BSIM4v5Lmax;
1508 
1509     double BSIM4v5Wint;
1510     double BSIM4v5Wl;
1511     double BSIM4v5Wlc;
1512     double BSIM4v5Wln;
1513     double BSIM4v5Ww;
1514     double BSIM4v5Wwc;
1515     double BSIM4v5Wwn;
1516     double BSIM4v5Wwl;
1517     double BSIM4v5Wwlc;
1518     double BSIM4v5Wmin;
1519     double BSIM4v5Wmax;
1520 
1521     /* added for stress effect */
1522     double BSIM4v5saref;
1523     double BSIM4v5sbref;
1524     double BSIM4v5wlod;
1525     double BSIM4v5ku0;
1526     double BSIM4v5kvsat;
1527     double BSIM4v5kvth0;
1528     double BSIM4v5tku0;
1529     double BSIM4v5llodku0;
1530     double BSIM4v5wlodku0;
1531     double BSIM4v5llodvth;
1532     double BSIM4v5wlodvth;
1533     double BSIM4v5lku0;
1534     double BSIM4v5wku0;
1535     double BSIM4v5pku0;
1536     double BSIM4v5lkvth0;
1537     double BSIM4v5wkvth0;
1538     double BSIM4v5pkvth0;
1539     double BSIM4v5stk2;
1540     double BSIM4v5lodk2;
1541     double BSIM4v5steta0;
1542     double BSIM4v5lodeta0;
1543 
1544     double BSIM4v5web;
1545     double BSIM4v5wec;
1546     double BSIM4v5kvth0we;
1547     double BSIM4v5k2we;
1548     double BSIM4v5ku0we;
1549     double BSIM4v5scref;
1550     double BSIM4v5wpemod;
1551     double BSIM4v5lkvth0we;
1552     double BSIM4v5lk2we;
1553     double BSIM4v5lku0we;
1554     double BSIM4v5wkvth0we;
1555     double BSIM4v5wk2we;
1556     double BSIM4v5wku0we;
1557     double BSIM4v5pkvth0we;
1558     double BSIM4v5pk2we;
1559     double BSIM4v5pku0we;
1560 
1561 /* Pre-calculated constants
1562  * move to size-dependent param */
1563     double BSIM4v5vtm;
1564     double BSIM4v5vtm0;
1565     double BSIM4v5coxe;
1566     double BSIM4v5coxp;
1567     double BSIM4v5cof1;
1568     double BSIM4v5cof2;
1569     double BSIM4v5cof3;
1570     double BSIM4v5cof4;
1571     double BSIM4v5vcrit;
1572     double BSIM4v5factor1;
1573     double BSIM4v5PhiBS;
1574     double BSIM4v5PhiBSWS;
1575     double BSIM4v5PhiBSWGS;
1576     double BSIM4v5SjctTempSatCurDensity;
1577     double BSIM4v5SjctSidewallTempSatCurDensity;
1578     double BSIM4v5SjctGateSidewallTempSatCurDensity;
1579     double BSIM4v5PhiBD;
1580     double BSIM4v5PhiBSWD;
1581     double BSIM4v5PhiBSWGD;
1582     double BSIM4v5DjctTempSatCurDensity;
1583     double BSIM4v5DjctSidewallTempSatCurDensity;
1584     double BSIM4v5DjctGateSidewallTempSatCurDensity;
1585     double BSIM4v5SunitAreaTempJctCap;
1586     double BSIM4v5DunitAreaTempJctCap;
1587     double BSIM4v5SunitLengthSidewallTempJctCap;
1588     double BSIM4v5DunitLengthSidewallTempJctCap;
1589     double BSIM4v5SunitLengthGateSidewallTempJctCap;
1590     double BSIM4v5DunitLengthGateSidewallTempJctCap;
1591 
1592     double BSIM4v5oxideTrapDensityA;
1593     double BSIM4v5oxideTrapDensityB;
1594     double BSIM4v5oxideTrapDensityC;
1595     double BSIM4v5em;
1596     double BSIM4v5ef;
1597     double BSIM4v5af;
1598     double BSIM4v5kf;
1599 
1600     double BSIM4v5vgsMax;
1601     double BSIM4v5vgdMax;
1602     double BSIM4v5vgbMax;
1603     double BSIM4v5vdsMax;
1604     double BSIM4v5vbsMax;
1605     double BSIM4v5vbdMax;
1606     double BSIM4v5vgsrMax;
1607     double BSIM4v5vgdrMax;
1608     double BSIM4v5vgbrMax;
1609     double BSIM4v5vbsrMax;
1610     double BSIM4v5vbdrMax;
1611 
1612     struct bsim4v5SizeDependParam *pSizeDependParamKnot;
1613 
1614 #ifdef USE_OMP
1615     int BSIM4v5InstCount;
1616     struct sBSIM4v5instance **BSIM4v5InstanceArray;
1617 #endif
1618 
1619     /* Flags */
1620     unsigned BSIM4v5rgeomodGiven :1;
1621     unsigned BSIM4v5stimodGiven :1;
1622     unsigned BSIM4v5sa0Given :1;
1623     unsigned BSIM4v5sb0Given :1;
1624 
1625     unsigned  BSIM4v5mobModGiven :1;
1626     unsigned  BSIM4v5binUnitGiven :1;
1627     unsigned  BSIM4v5capModGiven :1;
1628     unsigned  BSIM4v5dioModGiven :1;
1629     unsigned  BSIM4v5rdsModGiven :1;
1630     unsigned  BSIM4v5rbodyModGiven :1;
1631     unsigned  BSIM4v5rgateModGiven :1;
1632     unsigned  BSIM4v5perModGiven :1;
1633     unsigned  BSIM4v5geoModGiven :1;
1634     unsigned  BSIM4v5rgeoModGiven :1;
1635     unsigned  BSIM4v5paramChkGiven :1;
1636     unsigned  BSIM4v5trnqsModGiven :1;
1637     unsigned  BSIM4v5acnqsModGiven :1;
1638     unsigned  BSIM4v5fnoiModGiven :1;
1639     unsigned  BSIM4v5tnoiModGiven :1;
1640     unsigned  BSIM4v5igcModGiven :1;
1641     unsigned  BSIM4v5igbModGiven :1;
1642     unsigned  BSIM4v5tempModGiven :1;
1643     unsigned  BSIM4v5typeGiven   :1;
1644     unsigned  BSIM4v5toxrefGiven   :1;
1645     unsigned  BSIM4v5toxeGiven   :1;
1646     unsigned  BSIM4v5toxpGiven   :1;
1647     unsigned  BSIM4v5toxmGiven   :1;
1648     unsigned  BSIM4v5dtoxGiven   :1;
1649     unsigned  BSIM4v5epsroxGiven   :1;
1650     unsigned  BSIM4v5versionGiven   :1;
1651     unsigned  BSIM4v5cdscGiven   :1;
1652     unsigned  BSIM4v5cdscbGiven   :1;
1653     unsigned  BSIM4v5cdscdGiven   :1;
1654     unsigned  BSIM4v5citGiven   :1;
1655     unsigned  BSIM4v5nfactorGiven   :1;
1656     unsigned  BSIM4v5xjGiven   :1;
1657     unsigned  BSIM4v5vsatGiven   :1;
1658     unsigned  BSIM4v5atGiven   :1;
1659     unsigned  BSIM4v5a0Given   :1;
1660     unsigned  BSIM4v5agsGiven   :1;
1661     unsigned  BSIM4v5a1Given   :1;
1662     unsigned  BSIM4v5a2Given   :1;
1663     unsigned  BSIM4v5ketaGiven   :1;
1664     unsigned  BSIM4v5nsubGiven   :1;
1665     unsigned  BSIM4v5ndepGiven   :1;
1666     unsigned  BSIM4v5nsdGiven    :1;
1667     unsigned  BSIM4v5phinGiven   :1;
1668     unsigned  BSIM4v5ngateGiven   :1;
1669     unsigned  BSIM4v5gamma1Given   :1;
1670     unsigned  BSIM4v5gamma2Given   :1;
1671     unsigned  BSIM4v5vbxGiven   :1;
1672     unsigned  BSIM4v5vbmGiven   :1;
1673     unsigned  BSIM4v5xtGiven   :1;
1674     unsigned  BSIM4v5k1Given   :1;
1675     unsigned  BSIM4v5kt1Given   :1;
1676     unsigned  BSIM4v5kt1lGiven   :1;
1677     unsigned  BSIM4v5kt2Given   :1;
1678     unsigned  BSIM4v5k2Given   :1;
1679     unsigned  BSIM4v5k3Given   :1;
1680     unsigned  BSIM4v5k3bGiven   :1;
1681     unsigned  BSIM4v5w0Given   :1;
1682     unsigned  BSIM4v5dvtp0Given :1;
1683     unsigned  BSIM4v5dvtp1Given :1;
1684     unsigned  BSIM4v5lpe0Given   :1;
1685     unsigned  BSIM4v5lpebGiven   :1;
1686     unsigned  BSIM4v5dvt0Given   :1;
1687     unsigned  BSIM4v5dvt1Given   :1;
1688     unsigned  BSIM4v5dvt2Given   :1;
1689     unsigned  BSIM4v5dvt0wGiven   :1;
1690     unsigned  BSIM4v5dvt1wGiven   :1;
1691     unsigned  BSIM4v5dvt2wGiven   :1;
1692     unsigned  BSIM4v5droutGiven   :1;
1693     unsigned  BSIM4v5dsubGiven   :1;
1694     unsigned  BSIM4v5vth0Given   :1;
1695     unsigned  BSIM4v5euGiven   :1;
1696     unsigned  BSIM4v5uaGiven   :1;
1697     unsigned  BSIM4v5ua1Given   :1;
1698     unsigned  BSIM4v5ubGiven   :1;
1699     unsigned  BSIM4v5ub1Given   :1;
1700     unsigned  BSIM4v5ucGiven   :1;
1701     unsigned  BSIM4v5uc1Given   :1;
1702     unsigned  BSIM4v5udGiven     :1;
1703     unsigned  BSIM4v5ud1Given     :1;
1704     unsigned  BSIM4v5upGiven     :1;
1705     unsigned  BSIM4v5lpGiven     :1;
1706     unsigned  BSIM4v5u0Given   :1;
1707     unsigned  BSIM4v5uteGiven   :1;
1708     unsigned  BSIM4v5voffGiven   :1;
1709     unsigned  BSIM4v5tvoffGiven   :1;
1710     unsigned  BSIM4v5vofflGiven  :1;
1711     unsigned  BSIM4v5minvGiven   :1;
1712     unsigned  BSIM4v5rdswGiven   :1;
1713     unsigned  BSIM4v5rdswminGiven :1;
1714     unsigned  BSIM4v5rdwminGiven :1;
1715     unsigned  BSIM4v5rswminGiven :1;
1716     unsigned  BSIM4v5rswGiven   :1;
1717     unsigned  BSIM4v5rdwGiven   :1;
1718     unsigned  BSIM4v5prwgGiven   :1;
1719     unsigned  BSIM4v5prwbGiven   :1;
1720     unsigned  BSIM4v5prtGiven   :1;
1721     unsigned  BSIM4v5eta0Given   :1;
1722     unsigned  BSIM4v5etabGiven   :1;
1723     unsigned  BSIM4v5pclmGiven   :1;
1724     unsigned  BSIM4v5pdibl1Given   :1;
1725     unsigned  BSIM4v5pdibl2Given   :1;
1726     unsigned  BSIM4v5pdiblbGiven   :1;
1727     unsigned  BSIM4v5fproutGiven   :1;
1728     unsigned  BSIM4v5pditsGiven    :1;
1729     unsigned  BSIM4v5pditsdGiven    :1;
1730     unsigned  BSIM4v5pditslGiven    :1;
1731     unsigned  BSIM4v5pscbe1Given   :1;
1732     unsigned  BSIM4v5pscbe2Given   :1;
1733     unsigned  BSIM4v5pvagGiven   :1;
1734     unsigned  BSIM4v5deltaGiven  :1;
1735     unsigned  BSIM4v5wrGiven   :1;
1736     unsigned  BSIM4v5dwgGiven   :1;
1737     unsigned  BSIM4v5dwbGiven   :1;
1738     unsigned  BSIM4v5b0Given   :1;
1739     unsigned  BSIM4v5b1Given   :1;
1740     unsigned  BSIM4v5alpha0Given   :1;
1741     unsigned  BSIM4v5alpha1Given   :1;
1742     unsigned  BSIM4v5beta0Given   :1;
1743     unsigned  BSIM4v5agidlGiven   :1;
1744     unsigned  BSIM4v5bgidlGiven   :1;
1745     unsigned  BSIM4v5cgidlGiven   :1;
1746     unsigned  BSIM4v5egidlGiven   :1;
1747     unsigned  BSIM4v5aigcGiven   :1;
1748     unsigned  BSIM4v5bigcGiven   :1;
1749     unsigned  BSIM4v5cigcGiven   :1;
1750     unsigned  BSIM4v5aigsdGiven   :1;
1751     unsigned  BSIM4v5bigsdGiven   :1;
1752     unsigned  BSIM4v5cigsdGiven   :1;
1753     unsigned  BSIM4v5aigbaccGiven   :1;
1754     unsigned  BSIM4v5bigbaccGiven   :1;
1755     unsigned  BSIM4v5cigbaccGiven   :1;
1756     unsigned  BSIM4v5aigbinvGiven   :1;
1757     unsigned  BSIM4v5bigbinvGiven   :1;
1758     unsigned  BSIM4v5cigbinvGiven   :1;
1759     unsigned  BSIM4v5nigcGiven   :1;
1760     unsigned  BSIM4v5nigbinvGiven   :1;
1761     unsigned  BSIM4v5nigbaccGiven   :1;
1762     unsigned  BSIM4v5ntoxGiven   :1;
1763     unsigned  BSIM4v5eigbinvGiven   :1;
1764     unsigned  BSIM4v5pigcdGiven   :1;
1765     unsigned  BSIM4v5poxedgeGiven   :1;
1766     unsigned  BSIM4v5ijthdfwdGiven  :1;
1767     unsigned  BSIM4v5ijthsfwdGiven  :1;
1768     unsigned  BSIM4v5ijthdrevGiven  :1;
1769     unsigned  BSIM4v5ijthsrevGiven  :1;
1770     unsigned  BSIM4v5xjbvdGiven   :1;
1771     unsigned  BSIM4v5xjbvsGiven   :1;
1772     unsigned  BSIM4v5bvdGiven   :1;
1773     unsigned  BSIM4v5bvsGiven   :1;
1774 
1775     unsigned  BSIM4v5jtssGiven   :1;
1776     unsigned  BSIM4v5jtsdGiven   :1;
1777     unsigned  BSIM4v5jtsswsGiven   :1;
1778     unsigned  BSIM4v5jtsswdGiven   :1;
1779     unsigned  BSIM4v5jtsswgsGiven   :1;
1780     unsigned  BSIM4v5jtsswgdGiven   :1;
1781     unsigned  BSIM4v5njtsGiven   :1;
1782     unsigned  BSIM4v5njtsswGiven   :1;
1783     unsigned  BSIM4v5njtsswgGiven   :1;
1784     unsigned  BSIM4v5xtssGiven   :1;
1785     unsigned  BSIM4v5xtsdGiven   :1;
1786     unsigned  BSIM4v5xtsswsGiven   :1;
1787     unsigned  BSIM4v5xtsswdGiven   :1;
1788     unsigned  BSIM4v5xtsswgsGiven   :1;
1789     unsigned  BSIM4v5xtsswgdGiven   :1;
1790     unsigned  BSIM4v5tnjtsGiven   :1;
1791     unsigned  BSIM4v5tnjtsswGiven   :1;
1792     unsigned  BSIM4v5tnjtsswgGiven   :1;
1793     unsigned  BSIM4v5vtssGiven   :1;
1794     unsigned  BSIM4v5vtsdGiven   :1;
1795     unsigned  BSIM4v5vtsswsGiven   :1;
1796     unsigned  BSIM4v5vtsswdGiven   :1;
1797     unsigned  BSIM4v5vtsswgsGiven   :1;
1798     unsigned  BSIM4v5vtsswgdGiven   :1;
1799 
1800     unsigned  BSIM4v5vfbGiven   :1;
1801     unsigned  BSIM4v5gbminGiven :1;
1802     unsigned  BSIM4v5rbdbGiven :1;
1803     unsigned  BSIM4v5rbsbGiven :1;
1804     unsigned  BSIM4v5rbpsGiven :1;
1805     unsigned  BSIM4v5rbpdGiven :1;
1806     unsigned  BSIM4v5rbpbGiven :1;
1807 
1808     unsigned BSIM4v5rbps0Given :1;
1809     unsigned BSIM4v5rbpslGiven :1;
1810     unsigned BSIM4v5rbpswGiven :1;
1811     unsigned BSIM4v5rbpsnfGiven :1;
1812 
1813     unsigned BSIM4v5rbpd0Given :1;
1814     unsigned BSIM4v5rbpdlGiven :1;
1815     unsigned BSIM4v5rbpdwGiven :1;
1816     unsigned BSIM4v5rbpdnfGiven :1;
1817 
1818     unsigned BSIM4v5rbpbx0Given :1;
1819     unsigned BSIM4v5rbpbxlGiven :1;
1820     unsigned BSIM4v5rbpbxwGiven :1;
1821     unsigned BSIM4v5rbpbxnfGiven :1;
1822     unsigned BSIM4v5rbpby0Given :1;
1823     unsigned BSIM4v5rbpbylGiven :1;
1824     unsigned BSIM4v5rbpbywGiven :1;
1825     unsigned BSIM4v5rbpbynfGiven :1;
1826 
1827     unsigned BSIM4v5rbsbx0Given :1;
1828     unsigned BSIM4v5rbsby0Given :1;
1829     unsigned BSIM4v5rbdbx0Given :1;
1830     unsigned BSIM4v5rbdby0Given :1;
1831 
1832     unsigned BSIM4v5rbsdbxlGiven :1;
1833     unsigned BSIM4v5rbsdbxwGiven :1;
1834     unsigned BSIM4v5rbsdbxnfGiven :1;
1835     unsigned BSIM4v5rbsdbylGiven :1;
1836     unsigned BSIM4v5rbsdbywGiven :1;
1837     unsigned BSIM4v5rbsdbynfGiven :1;
1838 
1839     unsigned  BSIM4v5xrcrg1Given   :1;
1840     unsigned  BSIM4v5xrcrg2Given   :1;
1841     unsigned  BSIM4v5tnoiaGiven    :1;
1842     unsigned  BSIM4v5tnoibGiven    :1;
1843     unsigned  BSIM4v5rnoiaGiven    :1;
1844     unsigned  BSIM4v5rnoibGiven    :1;
1845     unsigned  BSIM4v5ntnoiGiven    :1;
1846 
1847     unsigned  BSIM4v5lambdaGiven    :1;
1848     unsigned  BSIM4v5vtlGiven    :1;
1849     unsigned  BSIM4v5lcGiven    :1;
1850     unsigned  BSIM4v5xnGiven    :1;
1851     unsigned  BSIM4v5vfbsdoffGiven    :1;
1852     unsigned  BSIM4v5lintnoiGiven    :1;
1853     unsigned  BSIM4v5tvfbsdoffGiven    :1;
1854 
1855     /* CV model and parasitics */
1856     unsigned  BSIM4v5cgslGiven   :1;
1857     unsigned  BSIM4v5cgdlGiven   :1;
1858     unsigned  BSIM4v5ckappasGiven   :1;
1859     unsigned  BSIM4v5ckappadGiven   :1;
1860     unsigned  BSIM4v5cfGiven   :1;
1861     unsigned  BSIM4v5vfbcvGiven   :1;
1862     unsigned  BSIM4v5clcGiven   :1;
1863     unsigned  BSIM4v5cleGiven   :1;
1864     unsigned  BSIM4v5dwcGiven   :1;
1865     unsigned  BSIM4v5dlcGiven   :1;
1866     unsigned  BSIM4v5xwGiven    :1;
1867     unsigned  BSIM4v5xlGiven    :1;
1868     unsigned  BSIM4v5dlcigGiven   :1;
1869     unsigned  BSIM4v5dwjGiven   :1;
1870     unsigned  BSIM4v5noffGiven  :1;
1871     unsigned  BSIM4v5voffcvGiven :1;
1872     unsigned  BSIM4v5acdeGiven  :1;
1873     unsigned  BSIM4v5moinGiven  :1;
1874     unsigned  BSIM4v5tcjGiven   :1;
1875     unsigned  BSIM4v5tcjswGiven :1;
1876     unsigned  BSIM4v5tcjswgGiven :1;
1877     unsigned  BSIM4v5tpbGiven    :1;
1878     unsigned  BSIM4v5tpbswGiven  :1;
1879     unsigned  BSIM4v5tpbswgGiven :1;
1880     unsigned  BSIM4v5dmcgGiven :1;
1881     unsigned  BSIM4v5dmciGiven :1;
1882     unsigned  BSIM4v5dmdgGiven :1;
1883     unsigned  BSIM4v5dmcgtGiven :1;
1884     unsigned  BSIM4v5xgwGiven :1;
1885     unsigned  BSIM4v5xglGiven :1;
1886     unsigned  BSIM4v5rshgGiven :1;
1887     unsigned  BSIM4v5ngconGiven :1;
1888 
1889 
1890     /* Length dependence */
1891     unsigned  BSIM4v5lcdscGiven   :1;
1892     unsigned  BSIM4v5lcdscbGiven   :1;
1893     unsigned  BSIM4v5lcdscdGiven   :1;
1894     unsigned  BSIM4v5lcitGiven   :1;
1895     unsigned  BSIM4v5lnfactorGiven   :1;
1896     unsigned  BSIM4v5lxjGiven   :1;
1897     unsigned  BSIM4v5lvsatGiven   :1;
1898     unsigned  BSIM4v5latGiven   :1;
1899     unsigned  BSIM4v5la0Given   :1;
1900     unsigned  BSIM4v5lagsGiven   :1;
1901     unsigned  BSIM4v5la1Given   :1;
1902     unsigned  BSIM4v5la2Given   :1;
1903     unsigned  BSIM4v5lketaGiven   :1;
1904     unsigned  BSIM4v5lnsubGiven   :1;
1905     unsigned  BSIM4v5lndepGiven   :1;
1906     unsigned  BSIM4v5lnsdGiven    :1;
1907     unsigned  BSIM4v5lphinGiven   :1;
1908     unsigned  BSIM4v5lngateGiven   :1;
1909     unsigned  BSIM4v5lgamma1Given   :1;
1910     unsigned  BSIM4v5lgamma2Given   :1;
1911     unsigned  BSIM4v5lvbxGiven   :1;
1912     unsigned  BSIM4v5lvbmGiven   :1;
1913     unsigned  BSIM4v5lxtGiven   :1;
1914     unsigned  BSIM4v5lk1Given   :1;
1915     unsigned  BSIM4v5lkt1Given   :1;
1916     unsigned  BSIM4v5lkt1lGiven   :1;
1917     unsigned  BSIM4v5lkt2Given   :1;
1918     unsigned  BSIM4v5lk2Given   :1;
1919     unsigned  BSIM4v5lk3Given   :1;
1920     unsigned  BSIM4v5lk3bGiven   :1;
1921     unsigned  BSIM4v5lw0Given   :1;
1922     unsigned  BSIM4v5ldvtp0Given :1;
1923     unsigned  BSIM4v5ldvtp1Given :1;
1924     unsigned  BSIM4v5llpe0Given   :1;
1925     unsigned  BSIM4v5llpebGiven   :1;
1926     unsigned  BSIM4v5ldvt0Given   :1;
1927     unsigned  BSIM4v5ldvt1Given   :1;
1928     unsigned  BSIM4v5ldvt2Given   :1;
1929     unsigned  BSIM4v5ldvt0wGiven   :1;
1930     unsigned  BSIM4v5ldvt1wGiven   :1;
1931     unsigned  BSIM4v5ldvt2wGiven   :1;
1932     unsigned  BSIM4v5ldroutGiven   :1;
1933     unsigned  BSIM4v5ldsubGiven   :1;
1934     unsigned  BSIM4v5lvth0Given   :1;
1935     unsigned  BSIM4v5luaGiven   :1;
1936     unsigned  BSIM4v5lua1Given   :1;
1937     unsigned  BSIM4v5lubGiven   :1;
1938     unsigned  BSIM4v5lub1Given   :1;
1939     unsigned  BSIM4v5lucGiven   :1;
1940     unsigned  BSIM4v5luc1Given   :1;
1941     unsigned  BSIM4v5ludGiven     :1;
1942     unsigned  BSIM4v5lud1Given     :1;
1943     unsigned  BSIM4v5lupGiven     :1;
1944     unsigned  BSIM4v5llpGiven     :1;
1945     unsigned  BSIM4v5lu0Given   :1;
1946     unsigned  BSIM4v5leuGiven   :1;
1947     unsigned  BSIM4v5luteGiven   :1;
1948     unsigned  BSIM4v5lvoffGiven   :1;
1949     unsigned  BSIM4v5ltvoffGiven   :1;
1950     unsigned  BSIM4v5lminvGiven   :1;
1951     unsigned  BSIM4v5lrdswGiven   :1;
1952     unsigned  BSIM4v5lrswGiven   :1;
1953     unsigned  BSIM4v5lrdwGiven   :1;
1954     unsigned  BSIM4v5lprwgGiven   :1;
1955     unsigned  BSIM4v5lprwbGiven   :1;
1956     unsigned  BSIM4v5lprtGiven   :1;
1957     unsigned  BSIM4v5leta0Given   :1;
1958     unsigned  BSIM4v5letabGiven   :1;
1959     unsigned  BSIM4v5lpclmGiven   :1;
1960     unsigned  BSIM4v5lpdibl1Given   :1;
1961     unsigned  BSIM4v5lpdibl2Given   :1;
1962     unsigned  BSIM4v5lpdiblbGiven   :1;
1963     unsigned  BSIM4v5lfproutGiven   :1;
1964     unsigned  BSIM4v5lpditsGiven    :1;
1965     unsigned  BSIM4v5lpditsdGiven    :1;
1966     unsigned  BSIM4v5lpscbe1Given   :1;
1967     unsigned  BSIM4v5lpscbe2Given   :1;
1968     unsigned  BSIM4v5lpvagGiven   :1;
1969     unsigned  BSIM4v5ldeltaGiven  :1;
1970     unsigned  BSIM4v5lwrGiven   :1;
1971     unsigned  BSIM4v5ldwgGiven   :1;
1972     unsigned  BSIM4v5ldwbGiven   :1;
1973     unsigned  BSIM4v5lb0Given   :1;
1974     unsigned  BSIM4v5lb1Given   :1;
1975     unsigned  BSIM4v5lalpha0Given   :1;
1976     unsigned  BSIM4v5lalpha1Given   :1;
1977     unsigned  BSIM4v5lbeta0Given   :1;
1978     unsigned  BSIM4v5lvfbGiven   :1;
1979     unsigned  BSIM4v5lagidlGiven   :1;
1980     unsigned  BSIM4v5lbgidlGiven   :1;
1981     unsigned  BSIM4v5lcgidlGiven   :1;
1982     unsigned  BSIM4v5legidlGiven   :1;
1983     unsigned  BSIM4v5laigcGiven   :1;
1984     unsigned  BSIM4v5lbigcGiven   :1;
1985     unsigned  BSIM4v5lcigcGiven   :1;
1986     unsigned  BSIM4v5laigsdGiven   :1;
1987     unsigned  BSIM4v5lbigsdGiven   :1;
1988     unsigned  BSIM4v5lcigsdGiven   :1;
1989     unsigned  BSIM4v5laigbaccGiven   :1;
1990     unsigned  BSIM4v5lbigbaccGiven   :1;
1991     unsigned  BSIM4v5lcigbaccGiven   :1;
1992     unsigned  BSIM4v5laigbinvGiven   :1;
1993     unsigned  BSIM4v5lbigbinvGiven   :1;
1994     unsigned  BSIM4v5lcigbinvGiven   :1;
1995     unsigned  BSIM4v5lnigcGiven   :1;
1996     unsigned  BSIM4v5lnigbinvGiven   :1;
1997     unsigned  BSIM4v5lnigbaccGiven   :1;
1998     unsigned  BSIM4v5lntoxGiven   :1;
1999     unsigned  BSIM4v5leigbinvGiven   :1;
2000     unsigned  BSIM4v5lpigcdGiven   :1;
2001     unsigned  BSIM4v5lpoxedgeGiven   :1;
2002     unsigned  BSIM4v5lxrcrg1Given   :1;
2003     unsigned  BSIM4v5lxrcrg2Given   :1;
2004     unsigned  BSIM4v5llambdaGiven    :1;
2005     unsigned  BSIM4v5lvtlGiven    :1;
2006     unsigned  BSIM4v5lxnGiven    :1;
2007     unsigned  BSIM4v5lvfbsdoffGiven    :1;
2008     unsigned  BSIM4v5ltvfbsdoffGiven    :1;
2009 
2010     /* CV model */
2011     unsigned  BSIM4v5lcgslGiven   :1;
2012     unsigned  BSIM4v5lcgdlGiven   :1;
2013     unsigned  BSIM4v5lckappasGiven   :1;
2014     unsigned  BSIM4v5lckappadGiven   :1;
2015     unsigned  BSIM4v5lcfGiven   :1;
2016     unsigned  BSIM4v5lclcGiven   :1;
2017     unsigned  BSIM4v5lcleGiven   :1;
2018     unsigned  BSIM4v5lvfbcvGiven   :1;
2019     unsigned  BSIM4v5lnoffGiven   :1;
2020     unsigned  BSIM4v5lvoffcvGiven :1;
2021     unsigned  BSIM4v5lacdeGiven   :1;
2022     unsigned  BSIM4v5lmoinGiven   :1;
2023 
2024     /* Width dependence */
2025     unsigned  BSIM4v5wcdscGiven   :1;
2026     unsigned  BSIM4v5wcdscbGiven   :1;
2027     unsigned  BSIM4v5wcdscdGiven   :1;
2028     unsigned  BSIM4v5wcitGiven   :1;
2029     unsigned  BSIM4v5wnfactorGiven   :1;
2030     unsigned  BSIM4v5wxjGiven   :1;
2031     unsigned  BSIM4v5wvsatGiven   :1;
2032     unsigned  BSIM4v5watGiven   :1;
2033     unsigned  BSIM4v5wa0Given   :1;
2034     unsigned  BSIM4v5wagsGiven   :1;
2035     unsigned  BSIM4v5wa1Given   :1;
2036     unsigned  BSIM4v5wa2Given   :1;
2037     unsigned  BSIM4v5wketaGiven   :1;
2038     unsigned  BSIM4v5wnsubGiven   :1;
2039     unsigned  BSIM4v5wndepGiven   :1;
2040     unsigned  BSIM4v5wnsdGiven    :1;
2041     unsigned  BSIM4v5wphinGiven   :1;
2042     unsigned  BSIM4v5wngateGiven   :1;
2043     unsigned  BSIM4v5wgamma1Given   :1;
2044     unsigned  BSIM4v5wgamma2Given   :1;
2045     unsigned  BSIM4v5wvbxGiven   :1;
2046     unsigned  BSIM4v5wvbmGiven   :1;
2047     unsigned  BSIM4v5wxtGiven   :1;
2048     unsigned  BSIM4v5wk1Given   :1;
2049     unsigned  BSIM4v5wkt1Given   :1;
2050     unsigned  BSIM4v5wkt1lGiven   :1;
2051     unsigned  BSIM4v5wkt2Given   :1;
2052     unsigned  BSIM4v5wk2Given   :1;
2053     unsigned  BSIM4v5wk3Given   :1;
2054     unsigned  BSIM4v5wk3bGiven   :1;
2055     unsigned  BSIM4v5ww0Given   :1;
2056     unsigned  BSIM4v5wdvtp0Given :1;
2057     unsigned  BSIM4v5wdvtp1Given :1;
2058     unsigned  BSIM4v5wlpe0Given   :1;
2059     unsigned  BSIM4v5wlpebGiven   :1;
2060     unsigned  BSIM4v5wdvt0Given   :1;
2061     unsigned  BSIM4v5wdvt1Given   :1;
2062     unsigned  BSIM4v5wdvt2Given   :1;
2063     unsigned  BSIM4v5wdvt0wGiven   :1;
2064     unsigned  BSIM4v5wdvt1wGiven   :1;
2065     unsigned  BSIM4v5wdvt2wGiven   :1;
2066     unsigned  BSIM4v5wdroutGiven   :1;
2067     unsigned  BSIM4v5wdsubGiven   :1;
2068     unsigned  BSIM4v5wvth0Given   :1;
2069     unsigned  BSIM4v5wuaGiven   :1;
2070     unsigned  BSIM4v5wua1Given   :1;
2071     unsigned  BSIM4v5wubGiven   :1;
2072     unsigned  BSIM4v5wub1Given   :1;
2073     unsigned  BSIM4v5wucGiven   :1;
2074     unsigned  BSIM4v5wuc1Given   :1;
2075     unsigned  BSIM4v5wudGiven     :1;
2076     unsigned  BSIM4v5wud1Given     :1;
2077     unsigned  BSIM4v5wupGiven     :1;
2078     unsigned  BSIM4v5wlpGiven     :1;
2079     unsigned  BSIM4v5wu0Given   :1;
2080     unsigned  BSIM4v5weuGiven   :1;
2081     unsigned  BSIM4v5wuteGiven   :1;
2082     unsigned  BSIM4v5wvoffGiven   :1;
2083     unsigned  BSIM4v5wtvoffGiven   :1;
2084     unsigned  BSIM4v5wminvGiven   :1;
2085     unsigned  BSIM4v5wrdswGiven   :1;
2086     unsigned  BSIM4v5wrswGiven   :1;
2087     unsigned  BSIM4v5wrdwGiven   :1;
2088     unsigned  BSIM4v5wprwgGiven   :1;
2089     unsigned  BSIM4v5wprwbGiven   :1;
2090     unsigned  BSIM4v5wprtGiven   :1;
2091     unsigned  BSIM4v5weta0Given   :1;
2092     unsigned  BSIM4v5wetabGiven   :1;
2093     unsigned  BSIM4v5wpclmGiven   :1;
2094     unsigned  BSIM4v5wpdibl1Given   :1;
2095     unsigned  BSIM4v5wpdibl2Given   :1;
2096     unsigned  BSIM4v5wpdiblbGiven   :1;
2097     unsigned  BSIM4v5wfproutGiven   :1;
2098     unsigned  BSIM4v5wpditsGiven    :1;
2099     unsigned  BSIM4v5wpditsdGiven    :1;
2100     unsigned  BSIM4v5wpscbe1Given   :1;
2101     unsigned  BSIM4v5wpscbe2Given   :1;
2102     unsigned  BSIM4v5wpvagGiven   :1;
2103     unsigned  BSIM4v5wdeltaGiven  :1;
2104     unsigned  BSIM4v5wwrGiven   :1;
2105     unsigned  BSIM4v5wdwgGiven   :1;
2106     unsigned  BSIM4v5wdwbGiven   :1;
2107     unsigned  BSIM4v5wb0Given   :1;
2108     unsigned  BSIM4v5wb1Given   :1;
2109     unsigned  BSIM4v5walpha0Given   :1;
2110     unsigned  BSIM4v5walpha1Given   :1;
2111     unsigned  BSIM4v5wbeta0Given   :1;
2112     unsigned  BSIM4v5wvfbGiven   :1;
2113     unsigned  BSIM4v5wagidlGiven   :1;
2114     unsigned  BSIM4v5wbgidlGiven   :1;
2115     unsigned  BSIM4v5wcgidlGiven   :1;
2116     unsigned  BSIM4v5wegidlGiven   :1;
2117     unsigned  BSIM4v5waigcGiven   :1;
2118     unsigned  BSIM4v5wbigcGiven   :1;
2119     unsigned  BSIM4v5wcigcGiven   :1;
2120     unsigned  BSIM4v5waigsdGiven   :1;
2121     unsigned  BSIM4v5wbigsdGiven   :1;
2122     unsigned  BSIM4v5wcigsdGiven   :1;
2123     unsigned  BSIM4v5waigbaccGiven   :1;
2124     unsigned  BSIM4v5wbigbaccGiven   :1;
2125     unsigned  BSIM4v5wcigbaccGiven   :1;
2126     unsigned  BSIM4v5waigbinvGiven   :1;
2127     unsigned  BSIM4v5wbigbinvGiven   :1;
2128     unsigned  BSIM4v5wcigbinvGiven   :1;
2129     unsigned  BSIM4v5wnigcGiven   :1;
2130     unsigned  BSIM4v5wnigbinvGiven   :1;
2131     unsigned  BSIM4v5wnigbaccGiven   :1;
2132     unsigned  BSIM4v5wntoxGiven   :1;
2133     unsigned  BSIM4v5weigbinvGiven   :1;
2134     unsigned  BSIM4v5wpigcdGiven   :1;
2135     unsigned  BSIM4v5wpoxedgeGiven   :1;
2136     unsigned  BSIM4v5wxrcrg1Given   :1;
2137     unsigned  BSIM4v5wxrcrg2Given   :1;
2138     unsigned  BSIM4v5wlambdaGiven    :1;
2139     unsigned  BSIM4v5wvtlGiven    :1;
2140     unsigned  BSIM4v5wxnGiven    :1;
2141     unsigned  BSIM4v5wvfbsdoffGiven    :1;
2142     unsigned  BSIM4v5wtvfbsdoffGiven    :1;
2143 
2144     /* CV model */
2145     unsigned  BSIM4v5wcgslGiven   :1;
2146     unsigned  BSIM4v5wcgdlGiven   :1;
2147     unsigned  BSIM4v5wckappasGiven   :1;
2148     unsigned  BSIM4v5wckappadGiven   :1;
2149     unsigned  BSIM4v5wcfGiven   :1;
2150     unsigned  BSIM4v5wclcGiven   :1;
2151     unsigned  BSIM4v5wcleGiven   :1;
2152     unsigned  BSIM4v5wvfbcvGiven   :1;
2153     unsigned  BSIM4v5wnoffGiven   :1;
2154     unsigned  BSIM4v5wvoffcvGiven :1;
2155     unsigned  BSIM4v5wacdeGiven   :1;
2156     unsigned  BSIM4v5wmoinGiven   :1;
2157 
2158     /* Cross-term dependence */
2159     unsigned  BSIM4v5pcdscGiven   :1;
2160     unsigned  BSIM4v5pcdscbGiven   :1;
2161     unsigned  BSIM4v5pcdscdGiven   :1;
2162     unsigned  BSIM4v5pcitGiven   :1;
2163     unsigned  BSIM4v5pnfactorGiven   :1;
2164     unsigned  BSIM4v5pxjGiven   :1;
2165     unsigned  BSIM4v5pvsatGiven   :1;
2166     unsigned  BSIM4v5patGiven   :1;
2167     unsigned  BSIM4v5pa0Given   :1;
2168     unsigned  BSIM4v5pagsGiven   :1;
2169     unsigned  BSIM4v5pa1Given   :1;
2170     unsigned  BSIM4v5pa2Given   :1;
2171     unsigned  BSIM4v5pketaGiven   :1;
2172     unsigned  BSIM4v5pnsubGiven   :1;
2173     unsigned  BSIM4v5pndepGiven   :1;
2174     unsigned  BSIM4v5pnsdGiven    :1;
2175     unsigned  BSIM4v5pphinGiven   :1;
2176     unsigned  BSIM4v5pngateGiven   :1;
2177     unsigned  BSIM4v5pgamma1Given   :1;
2178     unsigned  BSIM4v5pgamma2Given   :1;
2179     unsigned  BSIM4v5pvbxGiven   :1;
2180     unsigned  BSIM4v5pvbmGiven   :1;
2181     unsigned  BSIM4v5pxtGiven   :1;
2182     unsigned  BSIM4v5pk1Given   :1;
2183     unsigned  BSIM4v5pkt1Given   :1;
2184     unsigned  BSIM4v5pkt1lGiven   :1;
2185     unsigned  BSIM4v5pkt2Given   :1;
2186     unsigned  BSIM4v5pk2Given   :1;
2187     unsigned  BSIM4v5pk3Given   :1;
2188     unsigned  BSIM4v5pk3bGiven   :1;
2189     unsigned  BSIM4v5pw0Given   :1;
2190     unsigned  BSIM4v5pdvtp0Given :1;
2191     unsigned  BSIM4v5pdvtp1Given :1;
2192     unsigned  BSIM4v5plpe0Given   :1;
2193     unsigned  BSIM4v5plpebGiven   :1;
2194     unsigned  BSIM4v5pdvt0Given   :1;
2195     unsigned  BSIM4v5pdvt1Given   :1;
2196     unsigned  BSIM4v5pdvt2Given   :1;
2197     unsigned  BSIM4v5pdvt0wGiven   :1;
2198     unsigned  BSIM4v5pdvt1wGiven   :1;
2199     unsigned  BSIM4v5pdvt2wGiven   :1;
2200     unsigned  BSIM4v5pdroutGiven   :1;
2201     unsigned  BSIM4v5pdsubGiven   :1;
2202     unsigned  BSIM4v5pvth0Given   :1;
2203     unsigned  BSIM4v5puaGiven   :1;
2204     unsigned  BSIM4v5pua1Given   :1;
2205     unsigned  BSIM4v5pubGiven   :1;
2206     unsigned  BSIM4v5pub1Given   :1;
2207     unsigned  BSIM4v5pucGiven   :1;
2208     unsigned  BSIM4v5puc1Given   :1;
2209     unsigned  BSIM4v5pudGiven     :1;
2210     unsigned  BSIM4v5pud1Given     :1;
2211     unsigned  BSIM4v5pupGiven     :1;
2212     unsigned  BSIM4v5plpGiven     :1;
2213     unsigned  BSIM4v5pu0Given   :1;
2214     unsigned  BSIM4v5peuGiven   :1;
2215     unsigned  BSIM4v5puteGiven   :1;
2216     unsigned  BSIM4v5pvoffGiven   :1;
2217     unsigned  BSIM4v5ptvoffGiven   :1;
2218     unsigned  BSIM4v5pminvGiven   :1;
2219     unsigned  BSIM4v5prdswGiven   :1;
2220     unsigned  BSIM4v5prswGiven   :1;
2221     unsigned  BSIM4v5prdwGiven   :1;
2222     unsigned  BSIM4v5pprwgGiven   :1;
2223     unsigned  BSIM4v5pprwbGiven   :1;
2224     unsigned  BSIM4v5pprtGiven   :1;
2225     unsigned  BSIM4v5peta0Given   :1;
2226     unsigned  BSIM4v5petabGiven   :1;
2227     unsigned  BSIM4v5ppclmGiven   :1;
2228     unsigned  BSIM4v5ppdibl1Given   :1;
2229     unsigned  BSIM4v5ppdibl2Given   :1;
2230     unsigned  BSIM4v5ppdiblbGiven   :1;
2231     unsigned  BSIM4v5pfproutGiven   :1;
2232     unsigned  BSIM4v5ppditsGiven    :1;
2233     unsigned  BSIM4v5ppditsdGiven    :1;
2234     unsigned  BSIM4v5ppscbe1Given   :1;
2235     unsigned  BSIM4v5ppscbe2Given   :1;
2236     unsigned  BSIM4v5ppvagGiven   :1;
2237     unsigned  BSIM4v5pdeltaGiven  :1;
2238     unsigned  BSIM4v5pwrGiven   :1;
2239     unsigned  BSIM4v5pdwgGiven   :1;
2240     unsigned  BSIM4v5pdwbGiven   :1;
2241     unsigned  BSIM4v5pb0Given   :1;
2242     unsigned  BSIM4v5pb1Given   :1;
2243     unsigned  BSIM4v5palpha0Given   :1;
2244     unsigned  BSIM4v5palpha1Given   :1;
2245     unsigned  BSIM4v5pbeta0Given   :1;
2246     unsigned  BSIM4v5pvfbGiven   :1;
2247     unsigned  BSIM4v5pagidlGiven   :1;
2248     unsigned  BSIM4v5pbgidlGiven   :1;
2249     unsigned  BSIM4v5pcgidlGiven   :1;
2250     unsigned  BSIM4v5pegidlGiven   :1;
2251     unsigned  BSIM4v5paigcGiven   :1;
2252     unsigned  BSIM4v5pbigcGiven   :1;
2253     unsigned  BSIM4v5pcigcGiven   :1;
2254     unsigned  BSIM4v5paigsdGiven   :1;
2255     unsigned  BSIM4v5pbigsdGiven   :1;
2256     unsigned  BSIM4v5pcigsdGiven   :1;
2257     unsigned  BSIM4v5paigbaccGiven   :1;
2258     unsigned  BSIM4v5pbigbaccGiven   :1;
2259     unsigned  BSIM4v5pcigbaccGiven   :1;
2260     unsigned  BSIM4v5paigbinvGiven   :1;
2261     unsigned  BSIM4v5pbigbinvGiven   :1;
2262     unsigned  BSIM4v5pcigbinvGiven   :1;
2263     unsigned  BSIM4v5pnigcGiven   :1;
2264     unsigned  BSIM4v5pnigbinvGiven   :1;
2265     unsigned  BSIM4v5pnigbaccGiven   :1;
2266     unsigned  BSIM4v5pntoxGiven   :1;
2267     unsigned  BSIM4v5peigbinvGiven   :1;
2268     unsigned  BSIM4v5ppigcdGiven   :1;
2269     unsigned  BSIM4v5ppoxedgeGiven   :1;
2270     unsigned  BSIM4v5pxrcrg1Given   :1;
2271     unsigned  BSIM4v5pxrcrg2Given   :1;
2272     unsigned  BSIM4v5plambdaGiven    :1;
2273     unsigned  BSIM4v5pvtlGiven    :1;
2274     unsigned  BSIM4v5pxnGiven    :1;
2275     unsigned  BSIM4v5pvfbsdoffGiven    :1;
2276     unsigned  BSIM4v5ptvfbsdoffGiven    :1;
2277 
2278     /* CV model */
2279     unsigned  BSIM4v5pcgslGiven   :1;
2280     unsigned  BSIM4v5pcgdlGiven   :1;
2281     unsigned  BSIM4v5pckappasGiven   :1;
2282     unsigned  BSIM4v5pckappadGiven   :1;
2283     unsigned  BSIM4v5pcfGiven   :1;
2284     unsigned  BSIM4v5pclcGiven   :1;
2285     unsigned  BSIM4v5pcleGiven   :1;
2286     unsigned  BSIM4v5pvfbcvGiven   :1;
2287     unsigned  BSIM4v5pnoffGiven   :1;
2288     unsigned  BSIM4v5pvoffcvGiven :1;
2289     unsigned  BSIM4v5pacdeGiven   :1;
2290     unsigned  BSIM4v5pmoinGiven   :1;
2291 
2292     unsigned  BSIM4v5useFringeGiven   :1;
2293 
2294     unsigned  BSIM4v5tnomGiven   :1;
2295     unsigned  BSIM4v5cgsoGiven   :1;
2296     unsigned  BSIM4v5cgdoGiven   :1;
2297     unsigned  BSIM4v5cgboGiven   :1;
2298     unsigned  BSIM4v5xpartGiven   :1;
2299     unsigned  BSIM4v5sheetResistanceGiven   :1;
2300 
2301     unsigned  BSIM4v5SjctSatCurDensityGiven   :1;
2302     unsigned  BSIM4v5SjctSidewallSatCurDensityGiven   :1;
2303     unsigned  BSIM4v5SjctGateSidewallSatCurDensityGiven   :1;
2304     unsigned  BSIM4v5SbulkJctPotentialGiven   :1;
2305     unsigned  BSIM4v5SbulkJctBotGradingCoeffGiven   :1;
2306     unsigned  BSIM4v5SsidewallJctPotentialGiven   :1;
2307     unsigned  BSIM4v5SGatesidewallJctPotentialGiven   :1;
2308     unsigned  BSIM4v5SbulkJctSideGradingCoeffGiven   :1;
2309     unsigned  BSIM4v5SunitAreaJctCapGiven   :1;
2310     unsigned  BSIM4v5SunitLengthSidewallJctCapGiven   :1;
2311     unsigned  BSIM4v5SbulkJctGateSideGradingCoeffGiven   :1;
2312     unsigned  BSIM4v5SunitLengthGateSidewallJctCapGiven   :1;
2313     unsigned  BSIM4v5SjctEmissionCoeffGiven :1;
2314     unsigned  BSIM4v5SjctTempExponentGiven	:1;
2315 
2316     unsigned  BSIM4v5DjctSatCurDensityGiven   :1;
2317     unsigned  BSIM4v5DjctSidewallSatCurDensityGiven   :1;
2318     unsigned  BSIM4v5DjctGateSidewallSatCurDensityGiven   :1;
2319     unsigned  BSIM4v5DbulkJctPotentialGiven   :1;
2320     unsigned  BSIM4v5DbulkJctBotGradingCoeffGiven   :1;
2321     unsigned  BSIM4v5DsidewallJctPotentialGiven   :1;
2322     unsigned  BSIM4v5DGatesidewallJctPotentialGiven   :1;
2323     unsigned  BSIM4v5DbulkJctSideGradingCoeffGiven   :1;
2324     unsigned  BSIM4v5DunitAreaJctCapGiven   :1;
2325     unsigned  BSIM4v5DunitLengthSidewallJctCapGiven   :1;
2326     unsigned  BSIM4v5DbulkJctGateSideGradingCoeffGiven   :1;
2327     unsigned  BSIM4v5DunitLengthGateSidewallJctCapGiven   :1;
2328     unsigned  BSIM4v5DjctEmissionCoeffGiven :1;
2329     unsigned  BSIM4v5DjctTempExponentGiven :1;
2330 
2331     unsigned  BSIM4v5oxideTrapDensityAGiven  :1;
2332     unsigned  BSIM4v5oxideTrapDensityBGiven  :1;
2333     unsigned  BSIM4v5oxideTrapDensityCGiven  :1;
2334     unsigned  BSIM4v5emGiven  :1;
2335     unsigned  BSIM4v5efGiven  :1;
2336     unsigned  BSIM4v5afGiven  :1;
2337     unsigned  BSIM4v5kfGiven  :1;
2338 
2339     unsigned  BSIM4v5vgsMaxGiven  :1;
2340     unsigned  BSIM4v5vgdMaxGiven  :1;
2341     unsigned  BSIM4v5vgbMaxGiven  :1;
2342     unsigned  BSIM4v5vdsMaxGiven  :1;
2343     unsigned  BSIM4v5vbsMaxGiven  :1;
2344     unsigned  BSIM4v5vbdMaxGiven  :1;
2345     unsigned  BSIM4v5vgsrMaxGiven  :1;
2346     unsigned  BSIM4v5vgdrMaxGiven  :1;
2347     unsigned  BSIM4v5vgbrMaxGiven  :1;
2348     unsigned  BSIM4v5vbsrMaxGiven  :1;
2349     unsigned  BSIM4v5vbdrMaxGiven  :1;
2350 
2351     unsigned  BSIM4v5LintGiven   :1;
2352     unsigned  BSIM4v5LlGiven   :1;
2353     unsigned  BSIM4v5LlcGiven   :1;
2354     unsigned  BSIM4v5LlnGiven   :1;
2355     unsigned  BSIM4v5LwGiven   :1;
2356     unsigned  BSIM4v5LwcGiven   :1;
2357     unsigned  BSIM4v5LwnGiven   :1;
2358     unsigned  BSIM4v5LwlGiven   :1;
2359     unsigned  BSIM4v5LwlcGiven   :1;
2360     unsigned  BSIM4v5LminGiven   :1;
2361     unsigned  BSIM4v5LmaxGiven   :1;
2362 
2363     unsigned  BSIM4v5WintGiven   :1;
2364     unsigned  BSIM4v5WlGiven   :1;
2365     unsigned  BSIM4v5WlcGiven   :1;
2366     unsigned  BSIM4v5WlnGiven   :1;
2367     unsigned  BSIM4v5WwGiven   :1;
2368     unsigned  BSIM4v5WwcGiven   :1;
2369     unsigned  BSIM4v5WwnGiven   :1;
2370     unsigned  BSIM4v5WwlGiven   :1;
2371     unsigned  BSIM4v5WwlcGiven   :1;
2372     unsigned  BSIM4v5WminGiven   :1;
2373     unsigned  BSIM4v5WmaxGiven   :1;
2374 
2375     /* added for stress effect */
2376     unsigned  BSIM4v5sarefGiven   :1;
2377     unsigned  BSIM4v5sbrefGiven   :1;
2378     unsigned  BSIM4v5wlodGiven  :1;
2379     unsigned  BSIM4v5ku0Given   :1;
2380     unsigned  BSIM4v5kvsatGiven  :1;
2381     unsigned  BSIM4v5kvth0Given  :1;
2382     unsigned  BSIM4v5tku0Given   :1;
2383     unsigned  BSIM4v5llodku0Given   :1;
2384     unsigned  BSIM4v5wlodku0Given   :1;
2385     unsigned  BSIM4v5llodvthGiven   :1;
2386     unsigned  BSIM4v5wlodvthGiven   :1;
2387     unsigned  BSIM4v5lku0Given   :1;
2388     unsigned  BSIM4v5wku0Given   :1;
2389     unsigned  BSIM4v5pku0Given   :1;
2390     unsigned  BSIM4v5lkvth0Given   :1;
2391     unsigned  BSIM4v5wkvth0Given   :1;
2392     unsigned  BSIM4v5pkvth0Given   :1;
2393     unsigned  BSIM4v5stk2Given   :1;
2394     unsigned  BSIM4v5lodk2Given  :1;
2395     unsigned  BSIM4v5steta0Given :1;
2396     unsigned  BSIM4v5lodeta0Given :1;
2397 
2398     unsigned  BSIM4v5webGiven   :1;
2399     unsigned  BSIM4v5wecGiven   :1;
2400     unsigned  BSIM4v5kvth0weGiven   :1;
2401     unsigned  BSIM4v5k2weGiven   :1;
2402     unsigned  BSIM4v5ku0weGiven   :1;
2403     unsigned  BSIM4v5screfGiven   :1;
2404     unsigned  BSIM4v5wpemodGiven   :1;
2405     unsigned  BSIM4v5lkvth0weGiven   :1;
2406     unsigned  BSIM4v5lk2weGiven   :1;
2407     unsigned  BSIM4v5lku0weGiven   :1;
2408     unsigned  BSIM4v5wkvth0weGiven   :1;
2409     unsigned  BSIM4v5wk2weGiven   :1;
2410     unsigned  BSIM4v5wku0weGiven   :1;
2411     unsigned  BSIM4v5pkvth0weGiven   :1;
2412     unsigned  BSIM4v5pk2weGiven   :1;
2413     unsigned  BSIM4v5pku0weGiven   :1;
2414 
2415 
2416 } BSIM4v5model;
2417 
2418 
2419 #ifndef NMOS
2420 #define NMOS 1
2421 #define PMOS -1
2422 #endif /*NMOS*/
2423 
2424 
2425 /* Instance parameters */
2426 #define BSIM4v5_W                   1
2427 #define BSIM4v5_L                   2
2428 #define BSIM4v5_AS                  3
2429 #define BSIM4v5_AD                  4
2430 #define BSIM4v5_PS                  5
2431 #define BSIM4v5_PD                  6
2432 #define BSIM4v5_NRS                 7
2433 #define BSIM4v5_NRD                 8
2434 #define BSIM4v5_OFF                 9
2435 #define BSIM4v5_IC                  10
2436 #define BSIM4v5_IC_VDS              11
2437 #define BSIM4v5_IC_VGS              12
2438 #define BSIM4v5_IC_VBS              13
2439 #define BSIM4v5_TRNQSMOD            14
2440 #define BSIM4v5_RBODYMOD            15
2441 #define BSIM4v5_RGATEMOD            16
2442 #define BSIM4v5_GEOMOD              17
2443 #define BSIM4v5_RGEOMOD             18
2444 #define BSIM4v5_NF                  19
2445 #define BSIM4v5_MIN                 20
2446 #define BSIM4v5_ACNQSMOD            22
2447 #define BSIM4v5_RBDB                23
2448 #define BSIM4v5_RBSB                24
2449 #define BSIM4v5_RBPB                25
2450 #define BSIM4v5_RBPS                26
2451 #define BSIM4v5_RBPD                27
2452 #define BSIM4v5_SA                  28
2453 #define BSIM4v5_SB                  29
2454 #define BSIM4v5_SD                  30
2455 #define BSIM4v5_DELVTO              31
2456 #define BSIM4v5_XGW                 32
2457 #define BSIM4v5_NGCON               33
2458 #define BSIM4v5_SCA                 34
2459 #define BSIM4v5_SCB                 35
2460 #define BSIM4v5_SCC                 36
2461 #define BSIM4v5_SC                  37
2462 #define BSIM4v5_M                   38
2463 
2464 /* Global parameters */
2465 #define BSIM4v5_MOD_TEMPMOD         89
2466 #define BSIM4v5_MOD_IGCMOD          90
2467 #define BSIM4v5_MOD_IGBMOD          91
2468 #define BSIM4v5_MOD_ACNQSMOD        92
2469 #define BSIM4v5_MOD_FNOIMOD         93
2470 #define BSIM4v5_MOD_RDSMOD          94
2471 #define BSIM4v5_MOD_DIOMOD          95
2472 #define BSIM4v5_MOD_PERMOD          96
2473 #define BSIM4v5_MOD_GEOMOD          97
2474 #define BSIM4v5_MOD_RGEOMOD         98
2475 #define BSIM4v5_MOD_RGATEMOD        99
2476 #define BSIM4v5_MOD_RBODYMOD        100
2477 #define BSIM4v5_MOD_CAPMOD          101
2478 #define BSIM4v5_MOD_TRNQSMOD        102
2479 #define BSIM4v5_MOD_MOBMOD          103
2480 #define BSIM4v5_MOD_TNOIMOD         104
2481 #define BSIM4v5_MOD_TOXE            105
2482 #define BSIM4v5_MOD_CDSC            106
2483 #define BSIM4v5_MOD_CDSCB           107
2484 #define BSIM4v5_MOD_CIT             108
2485 #define BSIM4v5_MOD_NFACTOR         109
2486 #define BSIM4v5_MOD_XJ              110
2487 #define BSIM4v5_MOD_VSAT            111
2488 #define BSIM4v5_MOD_AT              112
2489 #define BSIM4v5_MOD_A0              113
2490 #define BSIM4v5_MOD_A1              114
2491 #define BSIM4v5_MOD_A2              115
2492 #define BSIM4v5_MOD_KETA            116
2493 #define BSIM4v5_MOD_NSUB            117
2494 #define BSIM4v5_MOD_NDEP            118
2495 #define BSIM4v5_MOD_NGATE           120
2496 #define BSIM4v5_MOD_GAMMA1          121
2497 #define BSIM4v5_MOD_GAMMA2          122
2498 #define BSIM4v5_MOD_VBX             123
2499 #define BSIM4v5_MOD_BINUNIT         124
2500 #define BSIM4v5_MOD_VBM             125
2501 #define BSIM4v5_MOD_XT              126
2502 #define BSIM4v5_MOD_K1              129
2503 #define BSIM4v5_MOD_KT1             130
2504 #define BSIM4v5_MOD_KT1L            131
2505 #define BSIM4v5_MOD_K2              132
2506 #define BSIM4v5_MOD_KT2             133
2507 #define BSIM4v5_MOD_K3              134
2508 #define BSIM4v5_MOD_K3B             135
2509 #define BSIM4v5_MOD_W0              136
2510 #define BSIM4v5_MOD_LPE0            137
2511 #define BSIM4v5_MOD_DVT0            138
2512 #define BSIM4v5_MOD_DVT1            139
2513 #define BSIM4v5_MOD_DVT2            140
2514 #define BSIM4v5_MOD_DVT0W           141
2515 #define BSIM4v5_MOD_DVT1W           142
2516 #define BSIM4v5_MOD_DVT2W           143
2517 #define BSIM4v5_MOD_DROUT           144
2518 #define BSIM4v5_MOD_DSUB            145
2519 #define BSIM4v5_MOD_VTH0            146
2520 #define BSIM4v5_MOD_UA              147
2521 #define BSIM4v5_MOD_UA1             148
2522 #define BSIM4v5_MOD_UB              149
2523 #define BSIM4v5_MOD_UB1             150
2524 #define BSIM4v5_MOD_UC              151
2525 #define BSIM4v5_MOD_UC1             152
2526 #define BSIM4v5_MOD_U0              153
2527 #define BSIM4v5_MOD_UTE             154
2528 #define BSIM4v5_MOD_VOFF            155
2529 #define BSIM4v5_MOD_DELTA           156
2530 #define BSIM4v5_MOD_RDSW            157
2531 #define BSIM4v5_MOD_PRT             158
2532 #define BSIM4v5_MOD_LDD             159
2533 #define BSIM4v5_MOD_ETA             160
2534 #define BSIM4v5_MOD_ETA0            161
2535 #define BSIM4v5_MOD_ETAB            162
2536 #define BSIM4v5_MOD_PCLM            163
2537 #define BSIM4v5_MOD_PDIBL1          164
2538 #define BSIM4v5_MOD_PDIBL2          165
2539 #define BSIM4v5_MOD_PSCBE1          166
2540 #define BSIM4v5_MOD_PSCBE2          167
2541 #define BSIM4v5_MOD_PVAG            168
2542 #define BSIM4v5_MOD_WR              169
2543 #define BSIM4v5_MOD_DWG             170
2544 #define BSIM4v5_MOD_DWB             171
2545 #define BSIM4v5_MOD_B0              172
2546 #define BSIM4v5_MOD_B1              173
2547 #define BSIM4v5_MOD_ALPHA0          174
2548 #define BSIM4v5_MOD_BETA0           175
2549 #define BSIM4v5_MOD_PDIBLB          178
2550 #define BSIM4v5_MOD_PRWG            179
2551 #define BSIM4v5_MOD_PRWB            180
2552 #define BSIM4v5_MOD_CDSCD           181
2553 #define BSIM4v5_MOD_AGS             182
2554 #define BSIM4v5_MOD_FRINGE          184
2555 #define BSIM4v5_MOD_CGSL            186
2556 #define BSIM4v5_MOD_CGDL            187
2557 #define BSIM4v5_MOD_CKAPPAS         188
2558 #define BSIM4v5_MOD_CF              189
2559 #define BSIM4v5_MOD_CLC             190
2560 #define BSIM4v5_MOD_CLE             191
2561 #define BSIM4v5_MOD_PARAMCHK        192
2562 #define BSIM4v5_MOD_VERSION         193
2563 #define BSIM4v5_MOD_VFBCV           194
2564 #define BSIM4v5_MOD_ACDE            195
2565 #define BSIM4v5_MOD_MOIN            196
2566 #define BSIM4v5_MOD_NOFF            197
2567 #define BSIM4v5_MOD_IJTHDFWD        198
2568 #define BSIM4v5_MOD_ALPHA1          199
2569 #define BSIM4v5_MOD_VFB             200
2570 #define BSIM4v5_MOD_TOXM            201
2571 #define BSIM4v5_MOD_TCJ             202
2572 #define BSIM4v5_MOD_TCJSW           203
2573 #define BSIM4v5_MOD_TCJSWG          204
2574 #define BSIM4v5_MOD_TPB             205
2575 #define BSIM4v5_MOD_TPBSW           206
2576 #define BSIM4v5_MOD_TPBSWG          207
2577 #define BSIM4v5_MOD_VOFFCV          208
2578 #define BSIM4v5_MOD_GBMIN           209
2579 #define BSIM4v5_MOD_RBDB            210
2580 #define BSIM4v5_MOD_RBSB            211
2581 #define BSIM4v5_MOD_RBPB            212
2582 #define BSIM4v5_MOD_RBPS            213
2583 #define BSIM4v5_MOD_RBPD            214
2584 #define BSIM4v5_MOD_DMCG            215
2585 #define BSIM4v5_MOD_DMCI            216
2586 #define BSIM4v5_MOD_DMDG            217
2587 #define BSIM4v5_MOD_XGW             218
2588 #define BSIM4v5_MOD_XGL             219
2589 #define BSIM4v5_MOD_RSHG            220
2590 #define BSIM4v5_MOD_NGCON           221
2591 #define BSIM4v5_MOD_AGIDL           222
2592 #define BSIM4v5_MOD_BGIDL           223
2593 #define BSIM4v5_MOD_EGIDL           224
2594 #define BSIM4v5_MOD_IJTHSFWD        225
2595 #define BSIM4v5_MOD_XJBVD           226
2596 #define BSIM4v5_MOD_XJBVS           227
2597 #define BSIM4v5_MOD_BVD             228
2598 #define BSIM4v5_MOD_BVS             229
2599 #define BSIM4v5_MOD_TOXP            230
2600 #define BSIM4v5_MOD_DTOX            231
2601 #define BSIM4v5_MOD_XRCRG1          232
2602 #define BSIM4v5_MOD_XRCRG2          233
2603 #define BSIM4v5_MOD_EU              234
2604 #define BSIM4v5_MOD_IJTHSREV        235
2605 #define BSIM4v5_MOD_IJTHDREV        236
2606 #define BSIM4v5_MOD_MINV            237
2607 #define BSIM4v5_MOD_VOFFL           238
2608 #define BSIM4v5_MOD_PDITS           239
2609 #define BSIM4v5_MOD_PDITSD          240
2610 #define BSIM4v5_MOD_PDITSL          241
2611 #define BSIM4v5_MOD_TNOIA           242
2612 #define BSIM4v5_MOD_TNOIB           243
2613 #define BSIM4v5_MOD_NTNOI           244
2614 #define BSIM4v5_MOD_FPROUT          245
2615 #define BSIM4v5_MOD_LPEB            246
2616 #define BSIM4v5_MOD_DVTP0           247
2617 #define BSIM4v5_MOD_DVTP1           248
2618 #define BSIM4v5_MOD_CGIDL           249
2619 #define BSIM4v5_MOD_PHIN            250
2620 #define BSIM4v5_MOD_RDSWMIN         251
2621 #define BSIM4v5_MOD_RSW             252
2622 #define BSIM4v5_MOD_RDW             253
2623 #define BSIM4v5_MOD_RDWMIN          254
2624 #define BSIM4v5_MOD_RSWMIN          255
2625 #define BSIM4v5_MOD_NSD             256
2626 #define BSIM4v5_MOD_CKAPPAD         257
2627 #define BSIM4v5_MOD_DMCGT           258
2628 #define BSIM4v5_MOD_AIGC            259
2629 #define BSIM4v5_MOD_BIGC            260
2630 #define BSIM4v5_MOD_CIGC            261
2631 #define BSIM4v5_MOD_AIGBACC         262
2632 #define BSIM4v5_MOD_BIGBACC         263
2633 #define BSIM4v5_MOD_CIGBACC         264
2634 #define BSIM4v5_MOD_AIGBINV         265
2635 #define BSIM4v5_MOD_BIGBINV         266
2636 #define BSIM4v5_MOD_CIGBINV         267
2637 #define BSIM4v5_MOD_NIGC            268
2638 #define BSIM4v5_MOD_NIGBACC         269
2639 #define BSIM4v5_MOD_NIGBINV         270
2640 #define BSIM4v5_MOD_NTOX            271
2641 #define BSIM4v5_MOD_TOXREF          272
2642 #define BSIM4v5_MOD_EIGBINV         273
2643 #define BSIM4v5_MOD_PIGCD           274
2644 #define BSIM4v5_MOD_POXEDGE         275
2645 #define BSIM4v5_MOD_EPSROX          276
2646 #define BSIM4v5_MOD_AIGSD           277
2647 #define BSIM4v5_MOD_BIGSD           278
2648 #define BSIM4v5_MOD_CIGSD           279
2649 #define BSIM4v5_MOD_JSWGS           280
2650 #define BSIM4v5_MOD_JSWGD           281
2651 #define BSIM4v5_MOD_LAMBDA          282
2652 #define BSIM4v5_MOD_VTL             283
2653 #define BSIM4v5_MOD_LC              284
2654 #define BSIM4v5_MOD_XN              285
2655 #define BSIM4v5_MOD_RNOIA           286
2656 #define BSIM4v5_MOD_RNOIB           287
2657 #define BSIM4v5_MOD_VFBSDOFF        288
2658 #define BSIM4v5_MOD_LINTNOI         289
2659 #define BSIM4v5_MOD_UD              290
2660 #define BSIM4v5_MOD_UD1             291
2661 #define BSIM4v5_MOD_UP              292
2662 #define BSIM4v5_MOD_LP              293
2663 #define BSIM4v5_MOD_TVOFF           294
2664 #define BSIM4v5_MOD_TVFBSDOFF       295
2665 
2666 /* Length dependence */
2667 #define BSIM4v5_MOD_LCDSC            301
2668 #define BSIM4v5_MOD_LCDSCB           302
2669 #define BSIM4v5_MOD_LCIT             303
2670 #define BSIM4v5_MOD_LNFACTOR         304
2671 #define BSIM4v5_MOD_LXJ              305
2672 #define BSIM4v5_MOD_LVSAT            306
2673 #define BSIM4v5_MOD_LAT              307
2674 #define BSIM4v5_MOD_LA0              308
2675 #define BSIM4v5_MOD_LA1              309
2676 #define BSIM4v5_MOD_LA2              310
2677 #define BSIM4v5_MOD_LKETA            311
2678 #define BSIM4v5_MOD_LNSUB            312
2679 #define BSIM4v5_MOD_LNDEP            313
2680 #define BSIM4v5_MOD_LNGATE           315
2681 #define BSIM4v5_MOD_LGAMMA1          316
2682 #define BSIM4v5_MOD_LGAMMA2          317
2683 #define BSIM4v5_MOD_LVBX             318
2684 #define BSIM4v5_MOD_LVBM             320
2685 #define BSIM4v5_MOD_LXT              322
2686 #define BSIM4v5_MOD_LK1              325
2687 #define BSIM4v5_MOD_LKT1             326
2688 #define BSIM4v5_MOD_LKT1L            327
2689 #define BSIM4v5_MOD_LK2              328
2690 #define BSIM4v5_MOD_LKT2             329
2691 #define BSIM4v5_MOD_LK3              330
2692 #define BSIM4v5_MOD_LK3B             331
2693 #define BSIM4v5_MOD_LW0              332
2694 #define BSIM4v5_MOD_LLPE0            333
2695 #define BSIM4v5_MOD_LDVT0            334
2696 #define BSIM4v5_MOD_LDVT1            335
2697 #define BSIM4v5_MOD_LDVT2            336
2698 #define BSIM4v5_MOD_LDVT0W           337
2699 #define BSIM4v5_MOD_LDVT1W           338
2700 #define BSIM4v5_MOD_LDVT2W           339
2701 #define BSIM4v5_MOD_LDROUT           340
2702 #define BSIM4v5_MOD_LDSUB            341
2703 #define BSIM4v5_MOD_LVTH0            342
2704 #define BSIM4v5_MOD_LUA              343
2705 #define BSIM4v5_MOD_LUA1             344
2706 #define BSIM4v5_MOD_LUB              345
2707 #define BSIM4v5_MOD_LUB1             346
2708 #define BSIM4v5_MOD_LUC              347
2709 #define BSIM4v5_MOD_LUC1             348
2710 #define BSIM4v5_MOD_LU0              349
2711 #define BSIM4v5_MOD_LUTE             350
2712 #define BSIM4v5_MOD_LVOFF            351
2713 #define BSIM4v5_MOD_LDELTA           352
2714 #define BSIM4v5_MOD_LRDSW            353
2715 #define BSIM4v5_MOD_LPRT             354
2716 #define BSIM4v5_MOD_LLDD             355
2717 #define BSIM4v5_MOD_LETA             356
2718 #define BSIM4v5_MOD_LETA0            357
2719 #define BSIM4v5_MOD_LETAB            358
2720 #define BSIM4v5_MOD_LPCLM            359
2721 #define BSIM4v5_MOD_LPDIBL1          360
2722 #define BSIM4v5_MOD_LPDIBL2          361
2723 #define BSIM4v5_MOD_LPSCBE1          362
2724 #define BSIM4v5_MOD_LPSCBE2          363
2725 #define BSIM4v5_MOD_LPVAG            364
2726 #define BSIM4v5_MOD_LWR              365
2727 #define BSIM4v5_MOD_LDWG             366
2728 #define BSIM4v5_MOD_LDWB             367
2729 #define BSIM4v5_MOD_LB0              368
2730 #define BSIM4v5_MOD_LB1              369
2731 #define BSIM4v5_MOD_LALPHA0          370
2732 #define BSIM4v5_MOD_LBETA0           371
2733 #define BSIM4v5_MOD_LPDIBLB          374
2734 #define BSIM4v5_MOD_LPRWG            375
2735 #define BSIM4v5_MOD_LPRWB            376
2736 #define BSIM4v5_MOD_LCDSCD           377
2737 #define BSIM4v5_MOD_LAGS             378
2738 
2739 #define BSIM4v5_MOD_LFRINGE          381
2740 #define BSIM4v5_MOD_LCGSL            383
2741 #define BSIM4v5_MOD_LCGDL            384
2742 #define BSIM4v5_MOD_LCKAPPAS         385
2743 #define BSIM4v5_MOD_LCF              386
2744 #define BSIM4v5_MOD_LCLC             387
2745 #define BSIM4v5_MOD_LCLE             388
2746 #define BSIM4v5_MOD_LVFBCV           389
2747 #define BSIM4v5_MOD_LACDE            390
2748 #define BSIM4v5_MOD_LMOIN            391
2749 #define BSIM4v5_MOD_LNOFF            392
2750 #define BSIM4v5_MOD_LALPHA1          394
2751 #define BSIM4v5_MOD_LVFB             395
2752 #define BSIM4v5_MOD_LVOFFCV          396
2753 #define BSIM4v5_MOD_LAGIDL           397
2754 #define BSIM4v5_MOD_LBGIDL           398
2755 #define BSIM4v5_MOD_LEGIDL           399
2756 #define BSIM4v5_MOD_LXRCRG1          400
2757 #define BSIM4v5_MOD_LXRCRG2          401
2758 #define BSIM4v5_MOD_LEU              402
2759 #define BSIM4v5_MOD_LMINV            403
2760 #define BSIM4v5_MOD_LPDITS           404
2761 #define BSIM4v5_MOD_LPDITSD          405
2762 #define BSIM4v5_MOD_LFPROUT          406
2763 #define BSIM4v5_MOD_LLPEB            407
2764 #define BSIM4v5_MOD_LDVTP0           408
2765 #define BSIM4v5_MOD_LDVTP1           409
2766 #define BSIM4v5_MOD_LCGIDL           410
2767 #define BSIM4v5_MOD_LPHIN            411
2768 #define BSIM4v5_MOD_LRSW             412
2769 #define BSIM4v5_MOD_LRDW             413
2770 #define BSIM4v5_MOD_LNSD             414
2771 #define BSIM4v5_MOD_LCKAPPAD         415
2772 #define BSIM4v5_MOD_LAIGC            416
2773 #define BSIM4v5_MOD_LBIGC            417
2774 #define BSIM4v5_MOD_LCIGC            418
2775 #define BSIM4v5_MOD_LAIGBACC         419
2776 #define BSIM4v5_MOD_LBIGBACC         420
2777 #define BSIM4v5_MOD_LCIGBACC         421
2778 #define BSIM4v5_MOD_LAIGBINV         422
2779 #define BSIM4v5_MOD_LBIGBINV         423
2780 #define BSIM4v5_MOD_LCIGBINV         424
2781 #define BSIM4v5_MOD_LNIGC            425
2782 #define BSIM4v5_MOD_LNIGBACC         426
2783 #define BSIM4v5_MOD_LNIGBINV         427
2784 #define BSIM4v5_MOD_LNTOX            428
2785 #define BSIM4v5_MOD_LEIGBINV         429
2786 #define BSIM4v5_MOD_LPIGCD           430
2787 #define BSIM4v5_MOD_LPOXEDGE         431
2788 #define BSIM4v5_MOD_LAIGSD           432
2789 #define BSIM4v5_MOD_LBIGSD           433
2790 #define BSIM4v5_MOD_LCIGSD           434
2791 
2792 #define BSIM4v5_MOD_LLAMBDA          435
2793 #define BSIM4v5_MOD_LVTL             436
2794 #define BSIM4v5_MOD_LXN              437
2795 #define BSIM4v5_MOD_LVFBSDOFF        438
2796 #define BSIM4v5_MOD_LUD              439
2797 #define BSIM4v5_MOD_LUD1             440
2798 #define BSIM4v5_MOD_LUP              441
2799 #define BSIM4v5_MOD_LLP              442
2800 
2801 /* Width dependence */
2802 #define BSIM4v5_MOD_WCDSC            481
2803 #define BSIM4v5_MOD_WCDSCB           482
2804 #define BSIM4v5_MOD_WCIT             483
2805 #define BSIM4v5_MOD_WNFACTOR         484
2806 #define BSIM4v5_MOD_WXJ              485
2807 #define BSIM4v5_MOD_WVSAT            486
2808 #define BSIM4v5_MOD_WAT              487
2809 #define BSIM4v5_MOD_WA0              488
2810 #define BSIM4v5_MOD_WA1              489
2811 #define BSIM4v5_MOD_WA2              490
2812 #define BSIM4v5_MOD_WKETA            491
2813 #define BSIM4v5_MOD_WNSUB            492
2814 #define BSIM4v5_MOD_WNDEP            493
2815 #define BSIM4v5_MOD_WNGATE           495
2816 #define BSIM4v5_MOD_WGAMMA1          496
2817 #define BSIM4v5_MOD_WGAMMA2          497
2818 #define BSIM4v5_MOD_WVBX             498
2819 #define BSIM4v5_MOD_WVBM             500
2820 #define BSIM4v5_MOD_WXT              502
2821 #define BSIM4v5_MOD_WK1              505
2822 #define BSIM4v5_MOD_WKT1             506
2823 #define BSIM4v5_MOD_WKT1L            507
2824 #define BSIM4v5_MOD_WK2              508
2825 #define BSIM4v5_MOD_WKT2             509
2826 #define BSIM4v5_MOD_WK3              510
2827 #define BSIM4v5_MOD_WK3B             511
2828 #define BSIM4v5_MOD_WW0              512
2829 #define BSIM4v5_MOD_WLPE0            513
2830 #define BSIM4v5_MOD_WDVT0            514
2831 #define BSIM4v5_MOD_WDVT1            515
2832 #define BSIM4v5_MOD_WDVT2            516
2833 #define BSIM4v5_MOD_WDVT0W           517
2834 #define BSIM4v5_MOD_WDVT1W           518
2835 #define BSIM4v5_MOD_WDVT2W           519
2836 #define BSIM4v5_MOD_WDROUT           520
2837 #define BSIM4v5_MOD_WDSUB            521
2838 #define BSIM4v5_MOD_WVTH0            522
2839 #define BSIM4v5_MOD_WUA              523
2840 #define BSIM4v5_MOD_WUA1             524
2841 #define BSIM4v5_MOD_WUB              525
2842 #define BSIM4v5_MOD_WUB1             526
2843 #define BSIM4v5_MOD_WUC              527
2844 #define BSIM4v5_MOD_WUC1             528
2845 #define BSIM4v5_MOD_WU0              529
2846 #define BSIM4v5_MOD_WUTE             530
2847 #define BSIM4v5_MOD_WVOFF            531
2848 #define BSIM4v5_MOD_WDELTA           532
2849 #define BSIM4v5_MOD_WRDSW            533
2850 #define BSIM4v5_MOD_WPRT             534
2851 #define BSIM4v5_MOD_WLDD             535
2852 #define BSIM4v5_MOD_WETA             536
2853 #define BSIM4v5_MOD_WETA0            537
2854 #define BSIM4v5_MOD_WETAB            538
2855 #define BSIM4v5_MOD_WPCLM            539
2856 #define BSIM4v5_MOD_WPDIBL1          540
2857 #define BSIM4v5_MOD_WPDIBL2          541
2858 #define BSIM4v5_MOD_WPSCBE1          542
2859 #define BSIM4v5_MOD_WPSCBE2          543
2860 #define BSIM4v5_MOD_WPVAG            544
2861 #define BSIM4v5_MOD_WWR              545
2862 #define BSIM4v5_MOD_WDWG             546
2863 #define BSIM4v5_MOD_WDWB             547
2864 #define BSIM4v5_MOD_WB0              548
2865 #define BSIM4v5_MOD_WB1              549
2866 #define BSIM4v5_MOD_WALPHA0          550
2867 #define BSIM4v5_MOD_WBETA0           551
2868 #define BSIM4v5_MOD_WPDIBLB          554
2869 #define BSIM4v5_MOD_WPRWG            555
2870 #define BSIM4v5_MOD_WPRWB            556
2871 #define BSIM4v5_MOD_WCDSCD           557
2872 #define BSIM4v5_MOD_WAGS             558
2873 
2874 #define BSIM4v5_MOD_WFRINGE          561
2875 #define BSIM4v5_MOD_WCGSL            563
2876 #define BSIM4v5_MOD_WCGDL            564
2877 #define BSIM4v5_MOD_WCKAPPAS         565
2878 #define BSIM4v5_MOD_WCF              566
2879 #define BSIM4v5_MOD_WCLC             567
2880 #define BSIM4v5_MOD_WCLE             568
2881 #define BSIM4v5_MOD_WVFBCV           569
2882 #define BSIM4v5_MOD_WACDE            570
2883 #define BSIM4v5_MOD_WMOIN            571
2884 #define BSIM4v5_MOD_WNOFF            572
2885 #define BSIM4v5_MOD_WALPHA1          574
2886 #define BSIM4v5_MOD_WVFB             575
2887 #define BSIM4v5_MOD_WVOFFCV          576
2888 #define BSIM4v5_MOD_WAGIDL           577
2889 #define BSIM4v5_MOD_WBGIDL           578
2890 #define BSIM4v5_MOD_WEGIDL           579
2891 #define BSIM4v5_MOD_WXRCRG1          580
2892 #define BSIM4v5_MOD_WXRCRG2          581
2893 #define BSIM4v5_MOD_WEU              582
2894 #define BSIM4v5_MOD_WMINV            583
2895 #define BSIM4v5_MOD_WPDITS           584
2896 #define BSIM4v5_MOD_WPDITSD          585
2897 #define BSIM4v5_MOD_WFPROUT          586
2898 #define BSIM4v5_MOD_WLPEB            587
2899 #define BSIM4v5_MOD_WDVTP0           588
2900 #define BSIM4v5_MOD_WDVTP1           589
2901 #define BSIM4v5_MOD_WCGIDL           590
2902 #define BSIM4v5_MOD_WPHIN            591
2903 #define BSIM4v5_MOD_WRSW             592
2904 #define BSIM4v5_MOD_WRDW             593
2905 #define BSIM4v5_MOD_WNSD             594
2906 #define BSIM4v5_MOD_WCKAPPAD         595
2907 #define BSIM4v5_MOD_WAIGC            596
2908 #define BSIM4v5_MOD_WBIGC            597
2909 #define BSIM4v5_MOD_WCIGC            598
2910 #define BSIM4v5_MOD_WAIGBACC         599
2911 #define BSIM4v5_MOD_WBIGBACC         600
2912 #define BSIM4v5_MOD_WCIGBACC         601
2913 #define BSIM4v5_MOD_WAIGBINV         602
2914 #define BSIM4v5_MOD_WBIGBINV         603
2915 #define BSIM4v5_MOD_WCIGBINV         604
2916 #define BSIM4v5_MOD_WNIGC            605
2917 #define BSIM4v5_MOD_WNIGBACC         606
2918 #define BSIM4v5_MOD_WNIGBINV         607
2919 #define BSIM4v5_MOD_WNTOX            608
2920 #define BSIM4v5_MOD_WEIGBINV         609
2921 #define BSIM4v5_MOD_WPIGCD           610
2922 #define BSIM4v5_MOD_WPOXEDGE         611
2923 #define BSIM4v5_MOD_WAIGSD           612
2924 #define BSIM4v5_MOD_WBIGSD           613
2925 #define BSIM4v5_MOD_WCIGSD           614
2926 #define BSIM4v5_MOD_WLAMBDA          615
2927 #define BSIM4v5_MOD_WVTL             616
2928 #define BSIM4v5_MOD_WXN              617
2929 #define BSIM4v5_MOD_WVFBSDOFF        618
2930 #define BSIM4v5_MOD_WUD              619
2931 #define BSIM4v5_MOD_WUD1             620
2932 #define BSIM4v5_MOD_WUP              621
2933 #define BSIM4v5_MOD_WLP              622
2934 
2935 /* Cross-term dependence */
2936 #define BSIM4v5_MOD_PCDSC            661
2937 #define BSIM4v5_MOD_PCDSCB           662
2938 #define BSIM4v5_MOD_PCIT             663
2939 #define BSIM4v5_MOD_PNFACTOR         664
2940 #define BSIM4v5_MOD_PXJ              665
2941 #define BSIM4v5_MOD_PVSAT            666
2942 #define BSIM4v5_MOD_PAT              667
2943 #define BSIM4v5_MOD_PA0              668
2944 #define BSIM4v5_MOD_PA1              669
2945 #define BSIM4v5_MOD_PA2              670
2946 #define BSIM4v5_MOD_PKETA            671
2947 #define BSIM4v5_MOD_PNSUB            672
2948 #define BSIM4v5_MOD_PNDEP            673
2949 #define BSIM4v5_MOD_PNGATE           675
2950 #define BSIM4v5_MOD_PGAMMA1          676
2951 #define BSIM4v5_MOD_PGAMMA2          677
2952 #define BSIM4v5_MOD_PVBX             678
2953 
2954 #define BSIM4v5_MOD_PVBM             680
2955 
2956 #define BSIM4v5_MOD_PXT              682
2957 #define BSIM4v5_MOD_PK1              685
2958 #define BSIM4v5_MOD_PKT1             686
2959 #define BSIM4v5_MOD_PKT1L            687
2960 #define BSIM4v5_MOD_PK2              688
2961 #define BSIM4v5_MOD_PKT2             689
2962 #define BSIM4v5_MOD_PK3              690
2963 #define BSIM4v5_MOD_PK3B             691
2964 #define BSIM4v5_MOD_PW0              692
2965 #define BSIM4v5_MOD_PLPE0            693
2966 
2967 #define BSIM4v5_MOD_PDVT0            694
2968 #define BSIM4v5_MOD_PDVT1            695
2969 #define BSIM4v5_MOD_PDVT2            696
2970 
2971 #define BSIM4v5_MOD_PDVT0W           697
2972 #define BSIM4v5_MOD_PDVT1W           698
2973 #define BSIM4v5_MOD_PDVT2W           699
2974 
2975 #define BSIM4v5_MOD_PDROUT           700
2976 #define BSIM4v5_MOD_PDSUB            701
2977 #define BSIM4v5_MOD_PVTH0            702
2978 #define BSIM4v5_MOD_PUA              703
2979 #define BSIM4v5_MOD_PUA1             704
2980 #define BSIM4v5_MOD_PUB              705
2981 #define BSIM4v5_MOD_PUB1             706
2982 #define BSIM4v5_MOD_PUC              707
2983 #define BSIM4v5_MOD_PUC1             708
2984 #define BSIM4v5_MOD_PU0              709
2985 #define BSIM4v5_MOD_PUTE             710
2986 #define BSIM4v5_MOD_PVOFF            711
2987 #define BSIM4v5_MOD_PDELTA           712
2988 #define BSIM4v5_MOD_PRDSW            713
2989 #define BSIM4v5_MOD_PPRT             714
2990 #define BSIM4v5_MOD_PLDD             715
2991 #define BSIM4v5_MOD_PETA             716
2992 #define BSIM4v5_MOD_PETA0            717
2993 #define BSIM4v5_MOD_PETAB            718
2994 #define BSIM4v5_MOD_PPCLM            719
2995 #define BSIM4v5_MOD_PPDIBL1          720
2996 #define BSIM4v5_MOD_PPDIBL2          721
2997 #define BSIM4v5_MOD_PPSCBE1          722
2998 #define BSIM4v5_MOD_PPSCBE2          723
2999 #define BSIM4v5_MOD_PPVAG            724
3000 #define BSIM4v5_MOD_PWR              725
3001 #define BSIM4v5_MOD_PDWG             726
3002 #define BSIM4v5_MOD_PDWB             727
3003 #define BSIM4v5_MOD_PB0              728
3004 #define BSIM4v5_MOD_PB1              729
3005 #define BSIM4v5_MOD_PALPHA0          730
3006 #define BSIM4v5_MOD_PBETA0           731
3007 #define BSIM4v5_MOD_PPDIBLB          734
3008 
3009 #define BSIM4v5_MOD_PPRWG            735
3010 #define BSIM4v5_MOD_PPRWB            736
3011 
3012 #define BSIM4v5_MOD_PCDSCD           737
3013 #define BSIM4v5_MOD_PAGS             738
3014 
3015 #define BSIM4v5_MOD_PFRINGE          741
3016 #define BSIM4v5_MOD_PCGSL            743
3017 #define BSIM4v5_MOD_PCGDL            744
3018 #define BSIM4v5_MOD_PCKAPPAS         745
3019 #define BSIM4v5_MOD_PCF              746
3020 #define BSIM4v5_MOD_PCLC             747
3021 #define BSIM4v5_MOD_PCLE             748
3022 #define BSIM4v5_MOD_PVFBCV           749
3023 #define BSIM4v5_MOD_PACDE            750
3024 #define BSIM4v5_MOD_PMOIN            751
3025 #define BSIM4v5_MOD_PNOFF            752
3026 #define BSIM4v5_MOD_PALPHA1          754
3027 #define BSIM4v5_MOD_PVFB             755
3028 #define BSIM4v5_MOD_PVOFFCV          756
3029 #define BSIM4v5_MOD_PAGIDL           757
3030 #define BSIM4v5_MOD_PBGIDL           758
3031 #define BSIM4v5_MOD_PEGIDL           759
3032 #define BSIM4v5_MOD_PXRCRG1          760
3033 #define BSIM4v5_MOD_PXRCRG2          761
3034 #define BSIM4v5_MOD_PEU              762
3035 #define BSIM4v5_MOD_PMINV            763
3036 #define BSIM4v5_MOD_PPDITS           764
3037 #define BSIM4v5_MOD_PPDITSD          765
3038 #define BSIM4v5_MOD_PFPROUT          766
3039 #define BSIM4v5_MOD_PLPEB            767
3040 #define BSIM4v5_MOD_PDVTP0           768
3041 #define BSIM4v5_MOD_PDVTP1           769
3042 #define BSIM4v5_MOD_PCGIDL           770
3043 #define BSIM4v5_MOD_PPHIN            771
3044 #define BSIM4v5_MOD_PRSW             772
3045 #define BSIM4v5_MOD_PRDW             773
3046 #define BSIM4v5_MOD_PNSD             774
3047 #define BSIM4v5_MOD_PCKAPPAD         775
3048 #define BSIM4v5_MOD_PAIGC            776
3049 #define BSIM4v5_MOD_PBIGC            777
3050 #define BSIM4v5_MOD_PCIGC            778
3051 #define BSIM4v5_MOD_PAIGBACC         779
3052 #define BSIM4v5_MOD_PBIGBACC         780
3053 #define BSIM4v5_MOD_PCIGBACC         781
3054 #define BSIM4v5_MOD_PAIGBINV         782
3055 #define BSIM4v5_MOD_PBIGBINV         783
3056 #define BSIM4v5_MOD_PCIGBINV         784
3057 #define BSIM4v5_MOD_PNIGC            785
3058 #define BSIM4v5_MOD_PNIGBACC         786
3059 #define BSIM4v5_MOD_PNIGBINV         787
3060 #define BSIM4v5_MOD_PNTOX            788
3061 #define BSIM4v5_MOD_PEIGBINV         789
3062 #define BSIM4v5_MOD_PPIGCD           790
3063 #define BSIM4v5_MOD_PPOXEDGE         791
3064 #define BSIM4v5_MOD_PAIGSD           792
3065 #define BSIM4v5_MOD_PBIGSD           793
3066 #define BSIM4v5_MOD_PCIGSD           794
3067 
3068 #define BSIM4v5_MOD_SAREF            795
3069 #define BSIM4v5_MOD_SBREF            796
3070 #define BSIM4v5_MOD_KU0              797
3071 #define BSIM4v5_MOD_KVSAT            798
3072 #define BSIM4v5_MOD_TKU0             799
3073 #define BSIM4v5_MOD_LLODKU0          800
3074 #define BSIM4v5_MOD_WLODKU0          801
3075 #define BSIM4v5_MOD_LLODVTH          802
3076 #define BSIM4v5_MOD_WLODVTH          803
3077 #define BSIM4v5_MOD_LKU0             804
3078 #define BSIM4v5_MOD_WKU0             805
3079 #define BSIM4v5_MOD_PKU0             806
3080 #define BSIM4v5_MOD_KVTH0            807
3081 #define BSIM4v5_MOD_LKVTH0           808
3082 #define BSIM4v5_MOD_WKVTH0           809
3083 #define BSIM4v5_MOD_PKVTH0           810
3084 #define BSIM4v5_MOD_WLOD		   811
3085 #define BSIM4v5_MOD_STK2		   812
3086 #define BSIM4v5_MOD_LODK2		   813
3087 #define BSIM4v5_MOD_STETA0	   814
3088 #define BSIM4v5_MOD_LODETA0	   815
3089 
3090 #define BSIM4v5_MOD_WEB          816
3091 #define BSIM4v5_MOD_WEC          817
3092 #define BSIM4v5_MOD_KVTH0WE          818
3093 #define BSIM4v5_MOD_K2WE          819
3094 #define BSIM4v5_MOD_KU0WE          820
3095 #define BSIM4v5_MOD_SCREF          821
3096 #define BSIM4v5_MOD_WPEMOD          822
3097 
3098 #define BSIM4v5_MOD_PLAMBDA          825
3099 #define BSIM4v5_MOD_PVTL             826
3100 #define BSIM4v5_MOD_PXN              827
3101 #define BSIM4v5_MOD_PVFBSDOFF        828
3102 
3103 #define BSIM4v5_MOD_TNOM             831
3104 #define BSIM4v5_MOD_CGSO             832
3105 #define BSIM4v5_MOD_CGDO             833
3106 #define BSIM4v5_MOD_CGBO             834
3107 #define BSIM4v5_MOD_XPART            835
3108 #define BSIM4v5_MOD_RSH              836
3109 #define BSIM4v5_MOD_JSS              837
3110 #define BSIM4v5_MOD_PBS              838
3111 #define BSIM4v5_MOD_MJS              839
3112 #define BSIM4v5_MOD_PBSWS            840
3113 #define BSIM4v5_MOD_MJSWS            841
3114 #define BSIM4v5_MOD_CJS              842
3115 #define BSIM4v5_MOD_CJSWS            843
3116 #define BSIM4v5_MOD_NMOS             844
3117 #define BSIM4v5_MOD_PMOS             845
3118 #define BSIM4v5_MOD_NOIA             846
3119 #define BSIM4v5_MOD_NOIB             847
3120 #define BSIM4v5_MOD_NOIC             848
3121 #define BSIM4v5_MOD_LINT             849
3122 #define BSIM4v5_MOD_LL               850
3123 #define BSIM4v5_MOD_LLN              851
3124 #define BSIM4v5_MOD_LW               852
3125 #define BSIM4v5_MOD_LWN              853
3126 #define BSIM4v5_MOD_LWL              854
3127 #define BSIM4v5_MOD_LMIN             855
3128 #define BSIM4v5_MOD_LMAX             856
3129 #define BSIM4v5_MOD_WINT             857
3130 #define BSIM4v5_MOD_WL               858
3131 #define BSIM4v5_MOD_WLN              859
3132 #define BSIM4v5_MOD_WW               860
3133 #define BSIM4v5_MOD_WWN              861
3134 #define BSIM4v5_MOD_WWL              862
3135 #define BSIM4v5_MOD_WMIN             863
3136 #define BSIM4v5_MOD_WMAX             864
3137 #define BSIM4v5_MOD_DWC              865
3138 #define BSIM4v5_MOD_DLC              866
3139 #define BSIM4v5_MOD_XL               867
3140 #define BSIM4v5_MOD_XW               868
3141 #define BSIM4v5_MOD_EM               869
3142 #define BSIM4v5_MOD_EF               870
3143 #define BSIM4v5_MOD_AF               871
3144 #define BSIM4v5_MOD_KF               872
3145 #define BSIM4v5_MOD_NJS              873
3146 #define BSIM4v5_MOD_XTIS             874
3147 #define BSIM4v5_MOD_PBSWGS           875
3148 #define BSIM4v5_MOD_MJSWGS           876
3149 #define BSIM4v5_MOD_CJSWGS           877
3150 #define BSIM4v5_MOD_JSWS             878
3151 #define BSIM4v5_MOD_LLC              879
3152 #define BSIM4v5_MOD_LWC              880
3153 #define BSIM4v5_MOD_LWLC             881
3154 #define BSIM4v5_MOD_WLC              882
3155 #define BSIM4v5_MOD_WWC              883
3156 #define BSIM4v5_MOD_WWLC             884
3157 #define BSIM4v5_MOD_DWJ              885
3158 #define BSIM4v5_MOD_JSD              886
3159 #define BSIM4v5_MOD_PBD              887
3160 #define BSIM4v5_MOD_MJD              888
3161 #define BSIM4v5_MOD_PBSWD            889
3162 #define BSIM4v5_MOD_MJSWD            890
3163 #define BSIM4v5_MOD_CJD              891
3164 #define BSIM4v5_MOD_CJSWD            892
3165 #define BSIM4v5_MOD_NJD              893
3166 #define BSIM4v5_MOD_XTID             894
3167 #define BSIM4v5_MOD_PBSWGD           895
3168 #define BSIM4v5_MOD_MJSWGD           896
3169 #define BSIM4v5_MOD_CJSWGD           897
3170 #define BSIM4v5_MOD_JSWD             898
3171 #define BSIM4v5_MOD_DLCIG            899
3172 
3173 /* trap-assisted tunneling */
3174 
3175 #define BSIM4v5_MOD_JTSS             900
3176 #define BSIM4v5_MOD_JTSD		   901
3177 #define BSIM4v5_MOD_JTSSWS	   902
3178 #define BSIM4v5_MOD_JTSSWD	   903
3179 #define BSIM4v5_MOD_JTSSWGS	   904
3180 #define BSIM4v5_MOD_JTSSWGD	   905
3181 #define BSIM4v5_MOD_NJTS	 	   906
3182 #define BSIM4v5_MOD_NJTSSW	   907
3183 #define BSIM4v5_MOD_NJTSSWG	   908
3184 #define BSIM4v5_MOD_XTSS		   909
3185 #define BSIM4v5_MOD_XTSD		   910
3186 #define BSIM4v5_MOD_XTSSWS	   911
3187 #define BSIM4v5_MOD_XTSSWD	   912
3188 #define BSIM4v5_MOD_XTSSWGS	   913
3189 #define BSIM4v5_MOD_XTSSWGD	   914
3190 #define BSIM4v5_MOD_TNJTS		   915
3191 #define BSIM4v5_MOD_TNJTSSW	   916
3192 #define BSIM4v5_MOD_TNJTSSWG	   917
3193 #define BSIM4v5_MOD_VTSS             918
3194 #define BSIM4v5_MOD_VTSD		   919
3195 #define BSIM4v5_MOD_VTSSWS	   920
3196 #define BSIM4v5_MOD_VTSSWD	   921
3197 #define BSIM4v5_MOD_VTSSWGS	   922
3198 #define BSIM4v5_MOD_VTSSWGD	   923
3199 #define BSIM4v5_MOD_PUD              924
3200 #define BSIM4v5_MOD_PUD1             925
3201 #define BSIM4v5_MOD_PUP              926
3202 #define BSIM4v5_MOD_PLP              927
3203 
3204 /* device questions */
3205 #define BSIM4v5_DNODE                945
3206 #define BSIM4v5_GNODEEXT             946
3207 #define BSIM4v5_SNODE                947
3208 #define BSIM4v5_BNODE                948
3209 #define BSIM4v5_DNODEPRIME           949
3210 #define BSIM4v5_GNODEPRIME           950
3211 #define BSIM4v5_GNODEMIDE            951
3212 #define BSIM4v5_GNODEMID             952
3213 #define BSIM4v5_SNODEPRIME           953
3214 #define BSIM4v5_BNODEPRIME           954
3215 #define BSIM4v5_DBNODE               955
3216 #define BSIM4v5_SBNODE               956
3217 #define BSIM4v5_VBD                  957
3218 #define BSIM4v5_VBS                  958
3219 #define BSIM4v5_VGS                  959
3220 #define BSIM4v5_VDS                  960
3221 #define BSIM4v5_CD                   961
3222 #define BSIM4v5_CBS                  962
3223 #define BSIM4v5_CBD                  963
3224 #define BSIM4v5_GM                   964
3225 #define BSIM4v5_GDS                  965
3226 #define BSIM4v5_GMBS                 966
3227 #define BSIM4v5_GBD                  967
3228 #define BSIM4v5_GBS                  968
3229 #define BSIM4v5_QB                   969
3230 #define BSIM4v5_CQB                  970
3231 #define BSIM4v5_QG                   971
3232 #define BSIM4v5_CQG                  972
3233 #define BSIM4v5_QD                   973
3234 #define BSIM4v5_CQD                  974
3235 #define BSIM4v5_CGGB                 975
3236 #define BSIM4v5_CGDB                 976
3237 #define BSIM4v5_CGSB                 977
3238 #define BSIM4v5_CBGB                 978
3239 #define BSIM4v5_CAPBD                979
3240 #define BSIM4v5_CQBD                 980
3241 #define BSIM4v5_CAPBS                981
3242 #define BSIM4v5_CQBS                 982
3243 #define BSIM4v5_CDGB                 983
3244 #define BSIM4v5_CDDB                 984
3245 #define BSIM4v5_CDSB                 985
3246 #define BSIM4v5_VON                  986
3247 #define BSIM4v5_VDSAT                987
3248 #define BSIM4v5_QBS                  988
3249 #define BSIM4v5_QBD                  989
3250 #define BSIM4v5_SOURCECONDUCT        990
3251 #define BSIM4v5_DRAINCONDUCT         991
3252 #define BSIM4v5_CBDB                 992
3253 #define BSIM4v5_CBSB                 993
3254 #define BSIM4v5_CSUB		   994
3255 #define BSIM4v5_QINV		   995
3256 #define BSIM4v5_IGIDL		   996
3257 #define BSIM4v5_CSGB                 997
3258 #define BSIM4v5_CSDB                 998
3259 #define BSIM4v5_CSSB                 999
3260 #define BSIM4v5_CGBB                 1000
3261 #define BSIM4v5_CDBB                 1001
3262 #define BSIM4v5_CSBB                 1002
3263 #define BSIM4v5_CBBB                 1003
3264 #define BSIM4v5_QS                   1004
3265 #define BSIM4v5_IGISL		   1005
3266 #define BSIM4v5_IGS		   1006
3267 #define BSIM4v5_IGD		   1007
3268 #define BSIM4v5_IGB		   1008
3269 #define BSIM4v5_IGCS		   1009
3270 #define BSIM4v5_IGCD		   1010
3271 #define BSIM4v5_QDEF		   1011
3272 #define BSIM4v5_DELVT0		   1012
3273 #define BSIM4v5_GCRG                 1013
3274 #define BSIM4v5_GTAU                 1014
3275 
3276 #define BSIM4v5_MOD_LTVOFF           1051
3277 #define BSIM4v5_MOD_LTVFBSDOFF       1052
3278 #define BSIM4v5_MOD_WTVOFF           1053
3279 #define BSIM4v5_MOD_WTVFBSDOFF       1054
3280 #define BSIM4v5_MOD_PTVOFF           1055
3281 #define BSIM4v5_MOD_PTVFBSDOFF       1056
3282 
3283 #define BSIM4v5_MOD_LKVTH0WE          1061
3284 #define BSIM4v5_MOD_LK2WE             1062
3285 #define BSIM4v5_MOD_LKU0WE		1063
3286 #define BSIM4v5_MOD_WKVTH0WE          1064
3287 #define BSIM4v5_MOD_WK2WE             1065
3288 #define BSIM4v5_MOD_WKU0WE		1066
3289 #define BSIM4v5_MOD_PKVTH0WE          1067
3290 #define BSIM4v5_MOD_PK2WE             1068
3291 #define BSIM4v5_MOD_PKU0WE		1069
3292 
3293 #define BSIM4v5_MOD_RBPS0               1101
3294 #define BSIM4v5_MOD_RBPSL               1102
3295 #define BSIM4v5_MOD_RBPSW               1103
3296 #define BSIM4v5_MOD_RBPSNF              1104
3297 #define BSIM4v5_MOD_RBPD0               1105
3298 #define BSIM4v5_MOD_RBPDL               1106
3299 #define BSIM4v5_MOD_RBPDW               1107
3300 #define BSIM4v5_MOD_RBPDNF              1108
3301 
3302 #define BSIM4v5_MOD_RBPBX0              1109
3303 #define BSIM4v5_MOD_RBPBXL              1110
3304 #define BSIM4v5_MOD_RBPBXW              1111
3305 #define BSIM4v5_MOD_RBPBXNF             1112
3306 #define BSIM4v5_MOD_RBPBY0              1113
3307 #define BSIM4v5_MOD_RBPBYL              1114
3308 #define BSIM4v5_MOD_RBPBYW              1115
3309 #define BSIM4v5_MOD_RBPBYNF             1116
3310 
3311 #define BSIM4v5_MOD_RBSBX0              1117
3312 #define BSIM4v5_MOD_RBSBY0              1118
3313 #define BSIM4v5_MOD_RBDBX0              1119
3314 #define BSIM4v5_MOD_RBDBY0              1120
3315 
3316 #define BSIM4v5_MOD_RBSDBXL             1121
3317 #define BSIM4v5_MOD_RBSDBXW             1122
3318 #define BSIM4v5_MOD_RBSDBXNF            1123
3319 #define BSIM4v5_MOD_RBSDBYL             1124
3320 #define BSIM4v5_MOD_RBSDBYW             1125
3321 #define BSIM4v5_MOD_RBSDBYNF            1126
3322 
3323 #define BSIM4v5_MOD_VGS_MAX             1201
3324 #define BSIM4v5_MOD_VGD_MAX             1202
3325 #define BSIM4v5_MOD_VGB_MAX             1203
3326 #define BSIM4v5_MOD_VDS_MAX             1204
3327 #define BSIM4v5_MOD_VBS_MAX             1205
3328 #define BSIM4v5_MOD_VBD_MAX             1206
3329 #define BSIM4v5_MOD_VGSR_MAX            1207
3330 #define BSIM4v5_MOD_VGDR_MAX            1208
3331 #define BSIM4v5_MOD_VGBR_MAX            1209
3332 #define BSIM4v5_MOD_VBSR_MAX            1210
3333 #define BSIM4v5_MOD_VBDR_MAX            1211
3334 
3335 #include "bsim4v5ext.h"
3336 
3337 extern void BSIM4v5evaluate(double,double,double,BSIM4v5instance*,BSIM4v5model*,
3338         double*,double*,double*, double*, double*, double*, double*,
3339         double*, double*, double*, double*, double*, double*, double*,
3340         double*, double*, double*, double*, CKTcircuit*);
3341 extern int BSIM4v5debug(BSIM4v5model*, BSIM4v5instance*, CKTcircuit*, int);
3342 extern int BSIM4v5checkModel(BSIM4v5model*, BSIM4v5instance*, CKTcircuit*);
3343 extern int BSIM4v5PAeffGeo(double, int, int, double, double, double, double, double *, double *, double *, double *);
3344 extern int BSIM4v5RdseffGeo(double, int, int, int, double, double, double, double, double, int, double *);
3345 
3346 #endif /*BSIM4v5*/
3347