Home
last modified time | relevance | path

Searched defs:Clk (Results 1 – 25 of 41) sorted by relevance

12

/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue17/
H A Dcond_assign_sig.vhdl9 signal Clk : std_logic := '0' ; signal
H A Dcond_assign_proc.vhdl8 signal Clk : std_logic := '0' ; signal
H A Dcond_assign_var.vhdl9 signal Clk : std_logic := '0' ; signal
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue375/
H A Dcond_assign_proc.vhdl9 signal Clk : std_logic := '0' ; signal
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/dboards/common/sync/
H A DPulser.vhd48 Clk : in std_logic; port
180 signal Clk: std_logic := '0'; signal
/dports/cad/yosys/yosys-yosys-0.12/tests/asicworld/
H A Dcode_hdl_models_GrayCounter.v15 input wire Clk); port
/dports/games/chessx/chessx-1.5.6/src/dialogs/
H A Dcommentdialog.h14 enum TimeMode { Egt, Emt, Clk}; enumerator
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/ticket104/
H A Dbug_tb.vhd16 signal Clk : std_logic := '1'; signal
/dports/cad/verilator/verilator-4.216/test_regress/t/
H A Dt_mem_slot.v11 input Clk; port
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue1131/
H A Ddut.vhdl10 Clk : in std_logic; port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/simple_gemac/miim/
H A Deth_clockgen.v85 input Clk; // Input clock (Host clock) port
H A Deth_shiftreg.v91 input Clk; // Input clock (Host clock) port
H A Deth_outputcontrol.v86 input Clk; // Host Clock port
H A Deth_miim.v121 input Clk; // Host Clock port
/dports/x11/eaglemode/eaglemode-0.95.0/include/emMain/
H A DemMainWindow.h110 emUInt64 Clk; variable
/dports/sysutils/edk2/edk2-platforms-89f6170d/Silicon/Intel/TigerlakeSiliconPkg/Include/ConfigBlock/Scs/
H A DScsConfig.h69 MUX_GPIO_PARAM Clk; member
77 MUX_GPIO_PARAM Clk; member
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue1051/
H A Dpsi_common_i2c_master_tb.vhd62 signal Clk : std_logic := '1'; signal
H A Dpsi_common_i2c_master.vhd65 Clk : in std_logic; -- $$ type=clk; freq=125e6 $$ port
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/video/fbdev/via/
H A Dvt1636.c140 static int get_clk_range_index(u32 Clk) in get_clk_range_index()
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/video/fbdev/via/
H A Dvt1636.c140 static int get_clk_range_index(u32 Clk) in get_clk_range_index()
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/video/fbdev/via/
H A Dvt1636.c140 static int get_clk_range_index(u32 Clk) in get_clk_range_index()
/dports/cad/ghdl/ghdl-1.0.0/src/synth/
H A Dnetlists-inference.adb805 Clk : Net; variable
924 Clk, En : Net; variable
H A Dnetlists-memories.adb531 Clk, En : Net; variable
613 Clk, En : Net; variable
1018 Clk : Net; variable
1931 Clk : Net; variable
2032 Clk : Net; variable
/dports/games/libretro-bluemsx/blueMSX-libretro-faf470e/Src/IoDevice/
H A DMicrowire93Cx6.c53 int Clk; member
/dports/cad/ghdl/ghdl-1.0.0/src/vhdl/
H A Dvhdl-sem_psl.adb707 Clk : PSL_Node; variable
885 Clk : PSL_Node; variable

12