Home
last modified time | relevance | path

Searched defs:S03_AXI_AWPROT (Results 1 – 2 of 2) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/e320/ip/axi_intercon_4x64_256_bd/
H A Daxi_intercon_4x64_256_bd_wrapper.v189 input [2:0]S03_AXI_AWPROT, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/ip/axi_intercon_4x64_256_bd/
H A Daxi_intercon_4x64_256_bd_wrapper.v189 input [2:0]S03_AXI_AWPROT, port