Searched defs:TRIG0 (Results 1 – 7 of 7) sorted by relevance
29 input [127 : 0] TRIG0; port
29 input [31 : 0] TRIG0; port
29 input [255 : 0] TRIG0; port
31 input [7 : 0] TRIG0; port
41 input [7 : 0] TRIG0; port
444 signal TRIG0, TRIG1, TRIG2, TRIG3 : std_logic_vector(31 downto 0); signal455 TRIG0 : in std_logic_vector(31 downto 0); port in wr_endpoint.syn.chipscope_ila