Home
last modified time | relevance | path

Searched defs:TRIG0 (Results 1 – 7 of 7) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/b2xxmini/coregen/
H A Dchipscope_ila.v29 input [127 : 0] TRIG0; port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/b200/coregen/
H A Dchipscope_ila_32.v29 input [31 : 0] TRIG0; port
H A Dchipscope_ila_128.v29 input [127 : 0] TRIG0; port
H A Dchipscope_ila_256.v29 input [255 : 0] TRIG0; port
H A Db200_chipscope_ila.v31 input [7 : 0] TRIG0; port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/extramfifo/
H A Dila.v41 input [7 : 0] TRIG0; port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/modules/wr_endpoint/
H A Dwr_endpoint.vhd444 signal TRIG0, TRIG1, TRIG2, TRIG3 : std_logic_vector(31 downto 0); signal
455 TRIG0 : in std_logic_vector(31 downto 0); port in wr_endpoint.syn.chipscope_ila