1
2-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
3
4-- This file is part of VESTs (Vhdl tESTs).
5
6-- VESTs is free software; you can redistribute it and/or modify it
7-- under the terms of the GNU General Public License as published by the
8-- Free Software Foundation; either version 2 of the License, or (at
9-- your option) any later version.
10
11-- VESTs is distributed in the hope that it will be useful, but WITHOUT
12-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
13-- FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
14-- for more details.
15
16-- You should have received a copy of the GNU General Public License
17-- along with VESTs; if not, write to the Free Software Foundation,
18-- Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA
19
20-- ---------------------------------------------------------------------
21--
22-- $Id: ch_15_alut.vhd,v 1.3 2001-11-03 23:19:37 paw Exp $
23-- $Revision: 1.3 $
24--
25-- ---------------------------------------------------------------------
26
27library ieee;
28use ieee.std_logic_1164.all;
29
30package alu_types is
31
32  subtype alu_func is std_logic_vector(3 downto 0);
33
34  constant alu_add :     alu_func := "0000";
35  constant alu_addu :    alu_func := "0001";
36  constant alu_sub :     alu_func := "0010";
37  constant alu_subu :    alu_func := "0011";
38  constant alu_and :     alu_func := "0100";
39  constant alu_or :      alu_func := "0101";
40  constant alu_xor :     alu_func := "0110";
41  constant alu_sll :     alu_func := "1000";
42  constant alu_srl :     alu_func := "1001";
43  constant alu_sra :     alu_func := "1010";
44  constant alu_pass_s1 : alu_func := "1100";
45  constant alu_pass_s2 : alu_func := "1101";
46
47end package alu_types;
48